B. Vinnakota, Analog and Mixed-Signal Test, 1998.

K. B. Schaub and J. Kelly, Production Testing of RF and System-on-a-Chip Devices for Wireless Communications, 2004.

S. Sunter, Mixed-signal testing and DfT, Advances in Electronic Testing: Challenges and Methodologies, D. Gizopoulos, pp.301-336, 2006.

M. Burns and G. W. Roberts, An Introduction to Mixed-Signal IC Test and Measurement

F. Poehl, F. Demmerle, J. Alt, and J. Obermeir, Production test challenges for highly integrated mobile phone SoCs, Proc. IEEE European Test Symposium, pp.17-22, 2010.

, IEEE Standard for Test Access Port and Boundary-Scan Architecture, pp.1-2013

, IEEE Standard for Mixed-Signal Test Bus, vol.1149, pp.4-2010

K. Arabi and B. Kaminska, Oscillation-test strategy for analog and mixed-signal integrated circuits, Proc. IEEE VLSI Test Symposium, pp.476-482, 1996.

G. Huertas, D. V´azquez, A. Rueda, and J. L. Huertas, Oscillation-Based Test in Mixed-Signal Circuits, 2006.

M. Jarwala, L. Duy, and M. S. Heutmaker, End-to-end test strategy for wireless systems, Proc. IEEE International Test Conference, pp.940-946, 1995.

M. S. Heutmaker and D. K. Le, An architecture for self-test of a wire-less communication system, IEEE Communications Magazine, vol.37, issue.6, pp.98-102, 1999.

D. Lupea, U. Pursche, and H. Jentschel, RF-BIST: loopback spectral signature analysis, Proc. Design, Automation, & Test in Europe Conference, pp.478-483, 2003.

S. Ozev and C. Olgaard, Wafer-level RF test and DfT for VCO modulating transceiver architectures, Proc. IEEE VLSI Test Symposium, pp.217-222, 2004.

J. Yoon and W. R. Eisenstadt, Embedded loopback test for RF ICs, IEEE Transactions on Instrumentation and Measurement, vol.54, issue.5, pp.1715-1720, 2005.

A. Valdes-garcia, J. Silva-martinez, and E. Sanchez-sinencio, On-chip testing techniques for RF wireless transceivers, IEEE Design & Test of Computers, vol.23, issue.4, pp.268-277, 2006.

J. J. Dabrowski and R. M. Ramzan, Built-in loopback test for IC RF transceivers, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.18, issue.6, pp.933-946, 2010.

B. R. Veillette and G. W. Roberts, A built-in self-test strategy for wire-less communication systems, Proc. IEEE International Test Conference, pp.930-939, 1995.

X. Haurie and G. W. Roberts, Arbitrary-precision signal generation for mixed-signal built-inself-test, IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol.45, pp.1425-1457, 1998.

B. Dufort and G. W. Roberts, On-chip analog signal generation for mixed-signal built-in selftest, IEEE Journal of Solid-State Circuits, vol.34, issue.3, pp.318-348, 1999.

M. M. Hafed, N. Abaskharoun, and G. W. Roberts, A 4-GHz effective sample rate integrated test core for analog and mixed-signal circuits, IEEE Journal of Solid-State Circuits, vol.37, issue.4, pp.499-514, 2002.

M. M. Hafed and G. W. Roberts, Techniques for high-frequency integrated test and measurement, IEEE Transactions on Instrumentation and Measurement, vol.52, issue.6, pp.1780-1786, 2003.

M. G. Mendez-rivera, A. Valdes-garcia, J. Silva-martinez, and E. Sanchez-sinencio, An on-chip spectrum analyzer for analog built-in testing, Journal of Electronic Testing: Theory and Applications, vol.21, issue.3, pp.205-219, 2005.

A. Valdes-garcia, F. A. .-l.-hussien, J. Silva-martinez, and E. Sanchez-sinencio, An integrated frequency response characterization system with a digital interface for analog testing, IEEE Journal of Solid-State Circuits, vol.41, issue.10, pp.2301-2313, 2006.

A. Valdes-garcia, R. Venkatasubramanian, J. Silva-martinez, and E. Sanchez-sinencio, A broadband CMOS amplitude detector for on-chip RF measurements, IEEE Transactions on Instrumentation and Measurement, vol.57, issue.7, pp.1470-1477, 2008.

Y. Huang, H. Hsieh, and L. Lu, A built-in self-test technique for RF low-noise amplifiers, IEEE Transactions on Microwave Theory and Techniques, vol.56, issue.2, pp.1035-1042, 2008.

M. Cimino, H. Lapuyade, Y. Deval, T. Taris, and J. Begueret, De-sign of a 0.9V 2.45 GHz self-testable and reliability-enhanced CMOS LNA, IEEE Journal of Solid-State Circuits, vol.43, issue.5, pp.1187-1194, 2008.

D. Mannath, D. Webster, V. Montano-martinez, D. Cohen, S. Kush et al.,

. Sontakke, Structural approach for built-in tests in RF devices, Proc. IEEE International Test Conference, 2010.

L. Abdallah, H. Stratigopoulos, S. Mir, and C. Kelma, Experiences with non-intrusive sensors for RF built-in test, Proc. IEEE International Test Conference, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00815233

J. L. Huertas, A. Rueda, and D. Vasquez, Testable switched-capacitor filters, IEEE Journal of Solid-State Circuits, vol.28, issue.7, pp.719-724, 1993.

A. Chatterjee, Concurrent error detection and fault-tolerance in lin-ear analog circuits using continuous checksums, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.1, issue.2, pp.138-150, 1993.

B. Vinnakota and R. Harjani, The design of analog self-checking cir-cuits, Proc. IEEE International Conference on VLSI Design, pp.67-70, 1994.

V. Kolarik, S. Mir, M. Lubaszewski, and B. Courtois, Analog check-ers with absolute and relative tolerances, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.14, issue.5, pp.607-612, 1995.

C. Wey, S. Krishnan, and S. Sahli, Test generation and concurrent error detection in current-mode A/D converters, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.14, issue.10, pp.1291-1298, 1995.

A. Laknaur and H. Wang, A methodology to perform online self-testing for fieldprogrammable analog array circuits, IEEE Transactions on Instrumentation and Measurement, vol.54, issue.5, pp.1751-1760, 2005.

E. Simeu, A. Peters, and I. Rayane, Automatic design of optimal con-current fault detector for linear analog systems, Proc. IEEE Inter-national Symposium on Fault-Tolerant Computing, pp.184-191, 1999.

K. Lee, W. Wang, and K. Huang, A current-mode testable de-sign of operational transconductance amplifier-capacitor filters, IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol.46, pp.401-413, 1999.

M. Lubaszewski, S. Mir, V. Kolarik, C. Nielsen, and B. Courtois, De-sign of self-checking fully differential circuits and boards, IEEE Trans-actions on Very Large Scale Integration (VLSI) Systems, vol.8, issue.2, pp.113-128, 2000.

S. Ozev and A. Orailoglu, Design of concurrent test hardware for linear analog circuits with constained hardware overhead, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.12, issue.7, pp.756-765, 2004.

H. D. Stratigopoulos and Y. Makris, Concurrent detection of erroneous responses in linear analog circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.25, issue.5, pp.878-891, 2006.

H. D. Stratigopoulos and Y. Makris, An adaptive checker for the fully differential analog code, IEEE Journal of Solid-State Circuits, vol.41, issue.6, pp.1421-1429, 2006.

V. Natarajan, G. Srinivasan, and A. Chatterjee, On-line error detection in wireless RF transmitters using real-time streaming data, Proc. IEEE International On-Line Testing Symposium, pp.159-164, 2006.

T. Das, A. Gopalan, C. Washburn, and P. R. Mukund, Self-calibration of input-match in RF front-end circuitry, IEEE Transactions on Circuits and Systems-II: Express Briefs, vol.52, issue.12, pp.821-825, 2005.

S. Bou-sleiman and M. Ismail, Enabling efficient built-in-self-calibration for RFICs, Proc. IEEE International Conference on Elec-tronics, Circuits and Systems, pp.492-495, 2011.

A. Goyal, M. Swaminathan, A. Chatterjee, D. Howard, and J. Cressler, A new self-healing methodology for RF amplifier circuits based on os-cillation principles, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.20, issue.10, pp.1835-1848, 2012.

C. Maxey, G. Creech, S. Raman, J. Rockway, K. Groves et al.,

. Mattamana, Mixed-signal SoCs with in situ self-healing circuitry, IEEE Design & Test of Computers, vol.29, issue.6, pp.27-39, 2012.

S. Bowers, K. Sengupta, B. Parker, and A. Hajimiri, Integrated self-healing for mm-wave power amplifiers, IEEE Transactions on Microwave Theory and Techniques, vol.61, issue.3, pp.352-363, 2013.

N. Sen and R. Saeks, Fault diagnosis for linear systems via multifrequency measurements, IEEE Transactions on Circuits and Systems, vol.26, issue.7, pp.457-465, 1979.

H. Dai and M. Souders, Time-domain testing strategies and fault diagnosis for analog systems, IEEE Transactions on Instrumentation and Measurement, vol.39, issue.1, pp.157-162, 1990.

M. Slamani and B. Kaminska, Analog circuit fault diagnosis based on sensitivity computation and functional testing, IEEE Design & Test of Computers, vol.9, issue.1, pp.30-39, 1992.

S. S. Somayajula, E. Sanchez-sinencio, and J. P. De-gyvez, Analog fault diagnosis based on ramping power supply current signature clusters, IEEE Transactions on Circuits and Systems-II: Analog and Dig-ital Signal Processing, vol.43, pp.703-712, 1996.

R. Spina and S. Upadhyaya, Linear circuit fault diagnosis using neuromorphic analyzers, IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol.44, pp.188-196, 1997.

S. Chakrabarti, S. Cherubal, and A. Chatterjee, Fault diagnosis for mixed-signal electronic systems, Proc. IEEE Aerospace Conference, pp.169-179, 1999.

E. F. Cota, M. Negreiros, L. Carro, and M. Lubaszewski, A new adaptive analog test and diagnosis system, IEEE Transactions on Instrumentation and Measurement, vol.49, issue.2, pp.223-227, 2000.

M. Aminian and F. Aminian, A modular fault-diagnosis system for analog electronic circuits using neural networks with wavelet transform as a preprocessor, IEEE Transactions on Instrumentation and Measurement, vol.56, issue.5, pp.1546-1554, 2007.

E. S. Erdogan, S. Ozev, and P. Cauvet, Diagnosis of assembly failures for system-in-package RF tuners, IEEE International Symposium on Circuits and Systems, pp.2286-2289, 2008.

K. Huang, H. Stratigopoulos, and S. Mir, Fault diagnosis of analog circuits based on machine learning, Proc. Design, Automation & Test in Europe Conference, pp.1761-1766, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00558898

S. Krishnan, K. D. Doornbos, R. Brand, and H. G. Kerkhoff, Block level bayesian diagnosis of analogue electronic circuits, Proc. Design, Automation & Test in Europe Conference, pp.1767-1772, 2010.

H. Hashempour, J. Dohmen, B. Tasic, B. Kruseman, C. Hora et al., Test time reduction in Analogue/Mixed-signal de-vices by defect oriented testing: An industrial example, Proc. Design, Automation & Test in Europe Conference, 2011.

K. Huang, H. Stratigopoulos, S. Mir, C. Hora, Y. Xing et al., Diagnosis of local spot defects in analog circuits, IEEE Trans-actions on Instrumentation and Measurement, vol.61, issue.10, pp.2701-2712, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00743568

P. N. Variyam, S. Cherubal, and A. Chatterjee, Prediction of analog performance parameters using fast transient testing, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.21, issue.3, pp.349-361, 2002.

S. S. Akbay, A. Halder, A. Chatterjee, and D. Keezer, Low-cost test of embedded RF/Analog/Mixed-signal circuits in SOPs, IEEE Transactions on Advanced Packaging, vol.27, issue.2, pp.352-363, 2004.

R. Voorakaranam, S. S. Akbay, S. Bhattacharya, S. Cherubal, and A. Chatterjee, Signature testing of analog and RF circuits: Algorithms and methodology, IEEE Transactions on Circuits and Systems -I, vol.54, issue.5, pp.1018-1031, 2007.

R. Voorakaranam, R. Newby, S. Cherubal, B. Cometta, T. Kuehl et al.,

. Chatterjee, Production deployment of a fast transient testing methodology for analog circuits: Case study and results, IEEE International Test Conference, pp.1174-1181, 2003.

R. Voorakaranam, S. Cherubal, and A. Chatterjee, A signature test framework for rapid production testing of RF circuits, Proc. Design, Automation and Test in Europe Conference, pp.186-191, 2002.

S. Cherubal, R. Voorakaranam, A. Chatterjee, J. Mclaughlin, J. L. Smith et al., Concurrent RF test using optimized modulated RF stimuli, IEEE International Conference on VLSI Design, pp.1017-1022, 2004.

A. Halder and A. Chatterjee, Low-cost alternate EVM test for wireless receiver systems, Proc. IEEE VLSI Test Symposium, pp.255-260, 2005.

S. Ellouz, P. Gamand, C. Kelma, B. Vandewiele, and B. Allard, Combining internal probing with artficial neural networks for optimal RFIC testing, Proc. IEEE International Test Conference, 2006.

S. Goyal, A. Chatterjee, and M. Purtell, A low-cost test methodology for dynamic specification testing of high-speed data converters, Journal of Electronic Testing: Theory and Applications, vol.23, issue.1, pp.95-106, 2006.

S. Kook, A. Banerjee, and A. Chatterjee, Dynamic specification testing and diagnosis of highprecision sigma-delta ADCs, IEEE Design & Test of Computers, vol.30, issue.4, pp.36-48, 2013.

S. Hsiao, X. Wang, and A. Chatterjee, Analog sensor based testing of phase-locked loop dynamic performance parameters, Proc. IEEE Asian Test Symposium, pp.50-55, 2013.

V. Natarajan, S. Bhattacharya, and A. Chatterjee, Alternate electrical tests for extracting mechanical parameters of MEMS accelerometer sensors, Proc. IEEE VLSI Test Symposium, pp.192-199, 2006.

H. D. Stratigopoulos and Y. Makris, Non-linear decision boundaries for testing analog circuits, IEEE Transactions on Computer-Aided De-sign of Integrated Circuits and Systems, vol.24, issue.11, pp.1760-1773, 2005.

H. Stratigopoulos and Y. Makris, Error moderation in low-cost machine-learning-based
URL : https://hal.archives-ouvertes.fr/hal-00348331

/. Analog and . Testing, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, issue.2, pp.339-351, 2008.

H. Ayari, F. Azais, S. Bernard, M. Compte, M. Renovell et al., Smart selection of indirect parameters for dc-based alternate RF IC testing, Proc. IEEE VLSI Test Symposium, pp.19-24, 2012.
URL : https://hal.archives-ouvertes.fr/lirmm-00803453

M. J. Barragan and G. Leger, Efficient selection of signatures for analog/rf alternate test, Proc. IEEE European Test Symposium, 2013.

D. E. Goldberg, Genetic Algorithms in Search, Optimization, and Machine Learning, 1989.

P. Pudil, J. Novovicova, and J. Kittler, Floating search methods in feature selection, Pattern Recognition Letters, vol.15, pp.1119-1125, 1994.

S. S. Akbay, J. L. Torres, J. M. Rumer, A. Chatterjee, and J. Amts-field, Alternate test of RF front ends with IP constraints: Frequency domain test generation and validation, Proc. IEEE International Test Conference, 2006.

S. S. Akbay and A. Chatterjee, Built-in test of RF components using mapped feature extraction sensors, IEEE VLSI Test Symposium, pp.243-248, 2005.

S. Bhattacharya and A. Chatterjee, A DFT approach for testing embedded systems using DC sensors, IEEE Design & Test of Computers, vol.23, issue.6, pp.464-475, 2006.

P. F. Mota and J. M. Silva, A true power detector for RF PA built-in calibration and testing, Proc. Design, Automation, & Test in Europe Conference, pp.1-6, 2011.

L. Abdallah, H. Stratigopoulos, S. Mir, and C. Kelma, RF front-end test using built-in sensors, IEEE Design & Test of Computers, vol.28, issue.6, pp.76-84, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00672386

C. Zhang, R. Gharpurey, and J. A. Abraham, Built-in self-test of RF subsystems with integrated sensors, Journal of Electronic Testing: Theory and Applications, vol.28, issue.5, pp.557-569, 2012.

A. Gopalan, M. Margala, and P. R. Mukund, A current based self-test methodology for RF front-end circuits, Microelectronics Journal, vol.36, issue.12, pp.1091-1102, 2005.

H. Stratigopoulos, S. Mir, E. Acar, and S. Ozev, Defect filter for alternate RF test, Proc. IEEE European Test Symposium, pp.101-106, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00418402

C. Y. Pan and K. T. Cheng, Test generation for linear time-invariant analog circuits, IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol.46, pp.554-564, 1999.

W. M. Lindermeir, H. E. Graeb, and K. J. Antreich, Analog testing by characteristic observation inference, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.18, issue.9, pp.1353-1368, 1999.

P. N. Variyam and A. Chatterjee, Specification-driven test generation for analog circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.10, pp.1189-1201, 2000.

V. Stopjakova, P. Malosek, D. Micusik, M. Matej, and M. Margala, Classification of defective analog integrated circuits using artificial neural networks, Journal of Electronic Testing: Theory and Applications, vol.20, pp.25-37, 2004.

N. Cristianini and J. Shawe-taylor, Support Vector Machines and Other Kernel-Based Learning Methods, 2000.

L. Rokach and O. Maimon, Top-down induction of decision trees classifiers a survey, IEEE Transactions on Systems, Man, and Cybernetics-Part C: Applications and Reviews, vol.35, issue.46, pp.476-487, 2005.

R. Parekh, J. Yang, and V. Honavar, Constructive neural-network learning algorithms for pattern classification, IEEE Transactions on Neural Networks, vol.11, issue.2, pp.436-451, 2000.

N. Burgess, A constructive algorithm that converges for real-valued input patterns, International Journal of Neural Systems, vol.5, issue.1, pp.59-66, 1994.

D. Maliuk, H. Stratigopoulos, H. Huang, and Y. Makris, Analog neural network design for RF built-in self-test, Proc. IEEE International Test Conference, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00560465

H. Stratigopoulos and S. Mir, Adaptive alternate analog test, IEEE Design & Test of Computers, vol.29, issue.4, pp.71-79, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00625043

H. Ayari, F. Azais, S. Bernard, M. Compte, V. Kerzerho et al., Making predictive analog/RF alternate test strategy independent of training set size, Proc. IEEE International Test Conference, 2012.
URL : https://hal.archives-ouvertes.fr/lirmm-00803564

T. M. Souders and G. N. Stenbakken, A comprehensive approach for modeling and testing analog and mixed-signal devices, Proc. IEEE International Test Conference, pp.169-176, 1990.

G. N. Stenbakken and T. M. Souders, Developing linear error models for analog devices, IEEE Transactions on Instrumentation and Mea-surement, vol.43, issue.2, pp.157-163, 1994.

G. N. Stenbakken and T. M. Souders, Test-point selection and testability measures via QR factorization of linear models, IEEE Transactions on Instrumentation and Measurement, vol.36, issue.2, pp.406-410, 1987.

J. V. Spaandonk and T. A. Kevenaar, Iterative test-point selection for analog circuits, Proc. IEEE VLSI Test Symposium, pp.66-71, 1996.

A. Wrixon and M. P. Kennedy, A rigorous exposition of the LEMMA method for analog and mixed-signal testing, IEEE Transactions on Instrumentation and Measurement, vol.48, issue.5, pp.978-985, 1999.

L. Milor and A. L. Sangiovanni-vincentelli, Minimizing production test time to detect faults in analog circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.13, issue.6, pp.796-813, 1994.

G. Devarayanadurg, M. Soma, P. Goteti, and S. D. Huynh, Test set selection for structural faults in analog IC's, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.18, issue.7, pp.1026-1039, 1999.

S. D. Huss and R. S. Gyurcsik, Optimal ordering of analog integrated circuit tests to minimize test time, ACM/IEEE Design Automation Conference, pp.494-499, 1991.

J. B. Brockman and S. W. Director, Predictive subset testing: Optimizing IC parametric performance testing for quality, cost, and yield, IEEE Transactions on Semiconductor Manufacturing, vol.2, issue.3, pp.104-113, 1989.

S. Biswas, P. Li, R. D. Blanton, and L. Pileggi, Specification test compaction for analog circuits and MEMS, Proc. Design, Automation & Test in Europe Conference, pp.164-169, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00181510

S. Biswas and R. D. Blanton, Statistical test compaction using binary decision trees, IEEE Design & Test of Computers, vol.23, issue.6, pp.452-462, 2006.

H. Stratigopoulos, P. Drineas, M. Slamani, and Y. Makris, RF specification test compaction using learning machines, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.18, pp.998-1002, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00493365

A. A. Mutlu and M. Rahman, Statistical methods for the estimation of process variation effects on circuit operation, IEEE Transactions on Electronics Packaging Manufacturing, vol.28, issue.4, pp.364-375, 2005.

T. Mcconaghy and G. G. Gielen, Template-free symbolic performance modeling of analog circuits via canonical-form functions and genetic programming, IEEE Transactions on ComputerAided Design of Integrated Circuits and Systems, vol.28, issue.8, pp.1162-1175, 2009.

E. Yilmaz and S. Ozev, Fast and accurate DPPM computation using model based filtering, Proc. IEEE European Test Symposium, pp.165-170, 2011.

A. Bounceur, S. Mir, E. Simeu, and L. Rolindez, Estimation of test metrics for the optimisation of analogue circuit testing, Journal of Electronic Testing: Theory and Applications, vol.23, issue.6, pp.471-484, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00522014

H. Stratigopoulos, S. Mir, and A. Bounceur, Evaluation of analog/RF test measurements at the design stage, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.4, pp.582-590, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00379139

S. Mukhopadhyay, A generic data-driven nonparametric framework for variability analysis of integrated circuits in nanometer technologies, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.7, pp.1038-1046, 2009.

A. Bounceur, S. Mir, and H. Stratigopoulos, Estimation of analog parametric test metrics using copulas, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.30, issue.9, pp.1400-1410, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00648885

C. Wegener and M. P. Kennedy, Test development through defect and test escape level estimation for data converters, Journal of Electronic Testing: Theory and Applications, vol.22, issue.4-6, pp.313-324, 2006.

H. Stratigopoulos, Test metrics model for analog test development, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.31, issue.7, pp.1116-1128, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00743570

H. Stratigopoulos, P. Faubet, Y. Courant, and M. Firas, Multidimensional analog test metrics estimation using extreme value theory and statistical blockade, ACM/IEEE Design Automation Conference, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00975424

A. Singhee and R. A. Rutenbar, Statistical blockade: Very fast statistical simulation and modeling of rare circuit events and its application to memory design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.8, pp.1176-1189, 2009.

S. Coles, An Introduction to Statistical Modeling of Extreme Values, ser. Springer Series in Statistics, 2001.

C. M. Kurker, J. J. Paulos, R. S. Gyurcsik, and J. Lu, Hierarchical yield estimation of large analog integrated circuits, IEEE Journal of Solid-State Circuits, vol.28, issue.3, pp.203-209, 1993.

M. Dubois, H. Stratigopoulos, and S. Mir, Hierarchical parametric test metrics estimation: A ?? converter BIST case study, Proc. IEEE International Conference on Computer Design, pp.78-83, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00471554

L. Milor and V. Visvanathan, Detection of catastrophic faults in ana-log integrated circuits, IEEE Transactions on Computer-Aided Design, vol.8, issue.2, pp.114-130, 1989.

S. J. Tsai, Test vector generation for linear analog devices, Proc. IEEE International Test Conference, pp.592-599, 1991.

M. Slamani and B. Kaminska, Multifrequency analysis of faults in analog circuits, IEEE Design & Test of Computers, vol.12, issue.2, pp.70-80, 1995.

S. Mir, M. Lubaszewski, and B. Courtois, Fault-based ATPG for linear analog circuits with minimal size multifrequency test sets, Journal of Electronic Testing: Theory and Applications, vol.9, issue.1-2, pp.43-57, 1996.
URL : https://hal.archives-ouvertes.fr/hal-00013266

Z. Wang, G. Gielen, and W. Sansen, Probabilistic fault detection and the selection of measurements for analog integrated circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.17, issue.9, pp.862-872, 1998.

S. D. Huynh, S. Kim, M. Soma, and J. Zhang, Automatic analog test signal generation using multifrequency analysis, IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol.46, pp.565-576, 1999.

K. Saab, N. B. Hamida, and B. Kaminska, Closing the gap between analog and digital testing, IEEE Transactions on Computer-Aided De-sign of Integrated Circuits and Systems, vol.20, issue.2, pp.307-314, 2001.

S. Bhunia and K. Roy, Dynamic supply current testing of analog cir-cuits using wavelet transform, Proc. IEEE VLSI Test Symposium, pp.302-307, 2002.

Y. Joannon, V. Beroulle, C. Robach, S. Tedjini, and J. Carbonero, Decreasing test qualification time in AMS and RF systems, IEEE Design & Test of Computers, vol.25, issue.1, pp.29-37, 2008.

A. Meixner and W. Maly, Fault modeling for the testing of mixed integrated circuits, Proc. IEEE International Test Conference, pp.564-572, 1991.

M. Soma, An experimental approach to analog fault models, Proc. IEEE Custom Integrated Circuits Conference, 1991.

M. Sachdev and B. Atzema, Industrial relevance of analog IFA: A fact or a fiction, Proc. IEEE International Test Conference, pp.61-70, 1995.

C. Sebeke, J. Teixeira, and M. Ohletz, Automatic fault extraction and simulation of layout realistic faults for integrated analogue circuits, Proc. IEEE European Design & Test Conference, pp.464-468, 1995.

A. Milne, D. Taylor, J. Saunders, and A. Talbot, Generation of optimised fault lists for simulation of analogue circuits and test programs, IEE Proceedings, vol.146, issue.6, pp.355-360, 1999.

E. Acar and S. Ozev, Defect-oriented testing of RF circuits, IEEE Transactions on ComputerAided Design of Integrated Circuits and Systems, vol.27, issue.5, pp.920-931, 2008.

E. Yilmaz, G. Shofner, L. Winemberg, and S. Ozev, Fault analysis and simulation of large scale industrial mixed-signal circuits, Proc. Design, Automation & Test in Europe Conference, pp.565-570, 2013.

M. Soma, Challenges in analog and mixed-signal fault models, IEEE Circuits & Devices Magazine, vol.12, issue.1, pp.16-19, 1996.

N. Nagi and J. A. Abraham, Hierarchical fault modeling for analog and mixed-signal circuits, Proc. IEEE VLSI Test Symposium, pp.96-101, 1992.

R. Voorakaranam, S. Chakrabarti, J. Hoo, A. G. , S. Cherubal et al., Hierarchical specification-driven analog fault modeling for efficient fault simulation and diagnosis, Proc. IEEE International Test Conference, 1997.

S. Sunter and N. Nagi, Test metrics for analog parametric faults, Proc. IEEE VLSI Test Symposium, pp.226-234, 1999.

K. Saab, N. Ben-hamida, and B. Kaminska, Parametric fault simula-tion and test vector generation, Proc. Design, Automation & Test in Europe Conference, pp.650-656, 2000.

J. Savir and Z. Guo, Test limitations of parametric faults in analog test, IEEE Transactions on Instrumentation and Measurement, vol.52, issue.5, pp.1444-1454, 2003.

H. Hong, A static linear behavior analog fault model for switched-capacitor circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.31, issue.4, pp.597-609, 2012.

M. B. Yelten, S. Natarajan, B. Xue, and P. Goteti, Scalable and efficient analog parametric fault identification, Proc. IEEE/ACM International Conference on Computer-Aided Design, pp.387-392, 2013.

H. Stratigopoulos and S. Sunter, Efficient monte carlo-based analog parametric fault modelling, Proc. IEEE VLSI Test Symposium, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01060258

H. Stratigopoulos and S. Mir, Analog test metrics estimates with PPM accuracy, Proc. IEEE/ACM International Conference on Computer-Aided Design, pp.241-247, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00556698

K. Arabi and B. Kaminska, Testing analog and mixed-signal integrated circuits using oscillation-test method, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.16, issue.7, pp.745-753, 1997.

K. Arabi and B. Kaminska, Design for testability of embedded integrated operational amplifiers, IEEE Journal of Solid-State Circuits, vol.33, issue.4, pp.573-81, 1998.

K. Arabi and B. Kaminska, Oscillation-test methodology for low-cost testing of active analog filters, IEEE Transactions on Instrumentation and Measurement

M. S. Zarnik, F. Novak, and S. Macek, Design of oscillation-based test structures for active RC filters, IEE Proceedings-Circuits, Devices, and Systems, vol.147, pp.297-302, 2000.

G. Huertas, D. Vazquez, E. J. Peralias, A. Rueda, and J. L. Huertas, Practical oscillationbased test of integrated filters, IEEE Design & Test of Computers, vol.19, issue.6, pp.64-72, 2002.

J. Roy and J. A. Abraham, A comprehensive signature analysis scheme for oscillation-test, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.22, issue.10, pp.1409-1423, 2003.

K. Suenaga, E. Isern, R. Picos, S. Bota, M. Roca et al., Application of predictive oscillation-based test to a CMOS opAmp, IEEE Transactions on Instrumentation and Measurement, vol.59, issue.8, pp.2076-2082, 2010.

S. Callegari, F. Pareschi, G. Setti, and M. Soma, Complex oscillation-based test and its application to analog filters, IEEE Transactions on Circuits and systems I: Regular Papers, vol.57, issue.5, pp.956-969, 2010.

K. Arabi and B. Kaminska, Efficient and accurate testing of analog-to-digital converters using oscillation-test method, Proc. European Design and Test Conference, pp.348-352, 1997.

K. Arabi and B. Kaminska, Oscillation built-in self-test (OBIST) scheme for functional and structural testing of analog and mixed-signal integrated circuits, Proc. IEEE International Test Conference, pp.786-795, 1997.

G. Huertas, D. Vazquez, E. J. Peralias, A. Rueda, and J. L. Huertas, Oscillation-based test in oversampling ?? modulators, Microelectronics Journal, vol.33, pp.799-806, 2002.

A. Goyal, M. Swaminathan, and A. Chatterjee, Low-cost specification based testing of RF amplifier circuits using oscillation principles, Journal of Electronic Testing: Theory and Applications, vol.26, issue.1, pp.13-24, 2010.

V. Beroulle, Y. Bertrand, L. Latorre, and P. Nouet, Evaluation of the oscillation-based test methodology for micro-electro-mechanical systems, Proc. IEEE VLSI Test Symposium, pp.439-444, 2002.
URL : https://hal.archives-ouvertes.fr/lirmm-00268484

K. Arabi and B. Kaminska, Parametric and catastrophic fault coverage of analog circuits in oscillation-test methodology, Proc. IEEE VLSI Test Symposium, pp.166-171, 1997.

A. K. Lu, G. W. Roberts, and D. A. Johns, A high quality analog oscillator using oversampling D/A converters technique, IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, vol.41, pp.437-444, 1994.

S. W. Park, J. L. Ausin, F. Bahmani, and E. Sanchez-sinencio, Non-linear shaping SC oscillator with enhanced linearity, IEEE Journal of Solid-State Circuits, vol.42, issue.11, pp.2421-2431, 2007.

M. M. Elsayed and E. Sanchez-sinencio, A low thd, low power, high output-swing time-mode-based tunable oscillator via digital harmonic-cancellation technique, IEEE Journal of Solid-State Circuits, vol.45, issue.5, pp.1061-1071, 2010.

M. J. Barragan, D. Vazquez, and A. Rueda, Analog sinewave signal generators for mixed-signal built-in test applications, Journal of Electronic Testing: Theory and Applications, vol.27, issue.3, pp.305-320, 2011.

M. J. Barragan, G. Leger, D. Vazquez, and A. Rueda, Sinusoidal signal generation for mixedsignal BIST using a harmonic-cancellation technique, Proc. IEEE Latin American Symposium on Circuits and Systems, pp.1-4, 2013.

B. K. Vasan, S. K. Sudani, D. J. Chen, and R. L. Geiger, Low-distortion sine wave generation using a novel harmonic cancellation technique, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.60, issue.5, pp.1122-1134, 2013.

A. Lu, G. Roberts, and D. Johns, A high-quality analog oscillator using oversampling DA conversion techniques, Proc. IEEE International Symposium on Circuits and Systems, pp.1298-1301, 1993.

H. Hong, S. Liang, and H. Song, A cost effective BIST second-order ?? modulator, Proc. of IEEE Workshop on Design and Di-agnostics of Electronic Circuits and Systems, pp.1-6, 2008.

S. Mir, L. Rolindez, C. Domigues, and L. Rufer, An implementation of memory-based on-chip analogue test signal generation, Proc. IEEE Asia and South Pacific Design Automation Conference, pp.663-668, 2003.
URL : https://hal.archives-ouvertes.fr/hal-00012867

H. Mattes, S. Sattler, and C. Dworski, Controlled sine wave fitting for ADC test, Proc. IEEE International Test Conference, pp.963-971, 2004.

D. A. Lampasi, A. Moschitta, and P. Carbone, Accurate digital synthesis of sinewaves, IEEE Transactions on Instrumentation and Measurement, vol.57, issue.3, pp.522-529, 2008.

C. Ong, K. Cheng, and L. Wang, A new sigma-delta modulator architecture for testing using digital stimulus, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.51, issue.1, pp.206-213, 2004.

L. Rolindez, S. Mir, J. Carbonero, D. Goguet, and N. Chouba, A stereo ?? ADC architecture with embedded SNDR self-test, Proc. IEEE International Test Conference, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00222053

H. Hong and S. Liang, A decorrelating design-for-digital-testability scheme for ?? modulators, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.56, issue.1, pp.60-73, 2009.

M. Dubois, H. Stratigopoulos, and S. Mir, Ternary stimulus for fully digital dynamic testing of SC ?? ADCs, IEEE International Mixed-Signals, Sensors, and Systems Test Workshop, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00745378

A. Roy, S. Sunter, A. Fudoli, and D. Appello, High accuracy stimulus generation for A/D converter BIST, Proc. IEEE International Test Conference, pp.1031-1039, 2002.

C. K. Ong, J. L. Huang, and K. T. Cheng, Testing second-order delta-sigma modulators using pseudo-random patterns, Microelectronics Journal, vol.33, issue.10, pp.807-814, 2002.

H. Chauhan, Y. Choi, M. Onabajo, I. Jung, and Y. Kim, Ac-curate and efficient on-chip spectral analysis for built-in testing and calibration approaches, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.22, issue.3, pp.49-506, 2014.

, IEEE Standard for Digitizing Waveform Recorders, IEEE Std, pp.1057-2007

H. Mattes, S. Sattler, and C. Dworski, Controlled sine wave fitting for ADC test, Proc. IEEE International Test Conference, pp.963-971, 2004.

H. Hong, F. Su, and S. Hung, A fully integrated built-in self-test ?? ADC based on the modified controlled sine-wave fitting procedure, IEEE Transactions on Instrumentation and Measurement, vol.59, issue.9, pp.2334-2344, 1996.

A. Tchegho, H. Mattes, and S. Sattler, Optimal high-resolution spectral analyzer, Proc. Design, Automation and Test in Europe Conference, pp.62-67, 2008.

N. Chouba and L. Bouzaida, A BIST architecture for sigma delta ADC testing based on embedded NOEB self-test and CORDIC algorithm, Proc. International Conference on Design and Technology of Interated Systems in Nanoscale Era, pp.1-7, 2004.

F. Azais, S. Bernard, Y. Bertrand, X. Michel, and M. Renovell, A low-cost adaptive ramp generator for analog BIST applications, Proc. IEEE VLSI Test Symposium, pp.266-271, 2001.

B. Provost and E. Sanchez-sinencio, On-chip ramp generators for mixed-signal BIST and ADC self-test, IEEE Journal of Solid-State Circuits, vol.38, issue.2, pp.263-273, 2003.

H. Chen, C. Wang, and C. Su, A self calibrated ADC BIST methodology, Proc. IEEE VLSI Test Symposium, pp.117-122, 2002.

R. Holcer, L. Michaeli, and J. Saliga, DNL ADC testing by the exponential shaped voltage, IEEE Transactions on Instrumentation and Measurement, vol.52, issue.3, pp.946-949, 2003.

L. Jin, K. Parthasarathy, T. Kuyel, D. Chen, and R. L. Geiger, Ac-curate testing of analog-todigital converters using low linearity signals with stimulus error identification and removal, IEEE Transactions on Instrumentation and Measurement, vol.54, issue.3, p.11881199, 2005.

M. A. Jalon, A. Rueda, and E. Peralias, Enhanced double-histogram test, Electronics Letters, vol.45, issue.7, pp.349-351, 2009.

F. Azais, S. Bernard, Y. Bertrand, and M. Renovell, Optimizing sinusoidal histogram test for low cost ADC BIST, Journal of Electronic Testing: Theory and Applications, vol.17, issue.3-4, pp.255-266, 2001.

Y. Wang, J. Wang, F. Lai, and Y. Ye, Optimal schemes for ADC BIST based on histogram, Proc. IEEE Asian Test Symposium, pp.52-57, 2005.

S. Goyal and A. Chatterjee, Linearity testing of A/D converters using selective code measurement, Journal of Electronic Testing: Theory and Applications, vol.24, issue.6, pp.567-576, 2008.

H. Xing, H. Jiang, D. Chen, and R. L. Geiger, High-resolution ADC linearity testing using a fully digital-compatible BIST strategy, IEEE Transactions on Instrumentation and Measurement, vol.58, issue.8, pp.2697-2705, 2009.

J. Lin, S. Chang, T. Kung, H. Ting, and C. Huang, Transition-code based linearity test method for pipelined ADCs with digital error correction, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.19, issue.12, pp.2158-2169, 2010.

A. Laraba, H. Stratigopoulos, S. Mir, H. Naudet, and G. Bret, Reduced-code linearity testing of pipeline ADCs, IEEE Design & Test, vol.30, issue.6, pp.80-88, 2013.
URL : https://hal.archives-ouvertes.fr/hal-01137870

G. Leger and A. Rueda, Low-cost digital detection of paremetric faults in cascaded sigmadelta modulators, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.56, issue.7, pp.1326-1338, 2009.

A. Gines and G. Leger, Sigma-delta testability for pipeline A/D converters, Proc. Design, Automation and Test in Europe Conference, 2014.

A. Zjajo, M. B. Asian, and J. P. De-gyvez, BIST method for die-level process parameter variation monitoring in analog/mixed-signal in-tegrated circuits, Proc. Design, Automation & Test in Europe Conference, pp.1301-1306, 2007.

M. A. Dominguez, J. L. Ausisin, J. F. Duque-carrilo, and G. Torelli, A 1-MHz area-efficient on-chip spectrum analyzer for analog testing, Journal of Electronic Testing: Theory and Applications, vol.22, issue.4, 2006.

J. Qin, C. E. Stroud, and F. F. Dai, FPGA-based analog functional measurements for adaptive control in mixed-signal systems, IEEE Transactions on Industrial Electronics, vol.54, issue.4, pp.1885-1897, 2010.

M. J. Barragan, D. Vazquez, and A. Rueda, A BIST solution for frequency domain characterization of analog circuits, Journal of Electronic Testing: Theory and Applications, vol.26, issue.4, pp.429-441, 2010.

K. Arabi, B. Kaminska, and J. Rzeszut, Bist for d/a and a/d converters, IEEE Design & Test of Computers, vol.13, issue.4, pp.40-49, 1996.

V. Kerzerho, P. Cauvet, S. Bernard, F. Azais, M. Comte et al., analogue network of converters": A DFT technique to test a complete set of ADCs and DACs embedded in a complex SiP or SoC, Proc. IEEE European Test Symposium, pp.211-216, 2007.
URL : https://hal.archives-ouvertes.fr/tel-00364546

S. Sunter and A. Roy, BIST for phase-locked loops in digital applications, Proc. IEEE International Test Conference, pp.532-540, 1999.

P. Dudek, S. Szczepanski, and J. Hatfield, A high resolution CMOS time-to-digital converter utilizing a Vernier delay line, IEEE Journal of Solid-State Circuits, vol.35, issue.2, pp.240-247, 2000.

A. Chan and G. Roberts, A synthesizable, fast and high-resolution timing measurement device using a component-invariant Vernier delay line, Proc. IEEE International Test Conference, pp.858-867, 2001.

S. Tabatabaei and A. Ivanov, Embedded timing analysis: a SoC infrastructure, IEEE Design & Test of Computers, vol.19, issue.3, pp.22-34, 2002.

S. Sunter and A. Roy, On-chip digital jitter measurement, from mega-hertz to gigahertz, IEEE Design & Test of Computers, vol.21, issue.4, pp.314-321, 2004.

J. Huang, J. Huang, and Y. Liu, A low-cost jitter measurement technique for bist applications, Journal of Electronic Testing: Theory and Applications, vol.22, issue.3, pp.219-228, 2006.

B. R. Veillette and G. Roberts, On-chip measurement of the jitter transfer function of chargepump phase-locked loops, IEEE Journal of Solid-State Circuits, vol.33, issue.3, pp.483-491, 1998.

J. Kim, On-chip measurement of jitter transfer and supply sensitivity of PLL/DLLs, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.56, issue.6, pp.449-453, 2009.

A. Asquini, F. Badets, S. Mir, J. Carbonero, and L. Bouzaida, PFD output monitoring for RF PLL BIST, Proc. IEEE International Mixed-Signals, Sensors, and Systems Test Workshop, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00346513

S. Hsiao, N. Tzou, and A. Chatterjee, A programmable BIST design for PLL static phase offset estimation and clock duty cycle detection, Proc. IEEE VLSI Test Symposium, 2013.

S. Kim and M. Soma, An all-digital built-in self-test for high-speed phase-locked loops, IEEE Transactions on Circuits and Systems-II: Analog and Digital Signal Processing, vol.48, pp.141-150, 2001.

F. Azais, Y. Bertrand, M. Renovell, A. Ivanov, and S. Tabatabaei, On-chip digital jitter measurement, from megahertz to gigahertz, IEEE Design & Test of Computers, vol.20, issue.1, pp.60-67, 2003.

E. S. Erdogan and S. Ozev, Detailed characterization of transceiver parameters through loopback-based BiST, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.18, issue.6, pp.901-911, 2010.

J. W. Jeong, S. Ozev, S. Sen, and T. M. Mak, Measurement of envelope/phase path delay skew and envelope path bandwidth in polar transmitters, Proc. IEEE VLSI Test Symposium, 2013.

A. Nassery, J. W. Jeong, and S. Ozev, Zero-overhead self test and calibration of RF transceivers, Proc. IEEE International Test Conference, 2013.

J. W. Jeong, S. Ozev, S. Sen, V. Natarajan, and M. Slamani, Built-in self-test and characterization of polar transmitter parameters in the loop-back mode, Proc. Design, Automation, & Test in Europe Conference, 2014.

A. Haider, S. Bhattacharya, G. Srinivasan, and A. Chatterjee, A system-level alternate test approach for specification test of RF transceivers in loopback mode, Proc. IEEE International Conference on VLSI Design, pp.289-294, 2005.

G. Srinivasan, A. Chatterjee, and F. Taenzler, Alternate loop-back di-agnostic tests for waferlevel diagnosis of modern wireless transceivers using spectral signatures, Proc. IEEE VLSI Test Symposium, pp.222-227, 2006.

J. Altet, A. Rubio, E. Schaub, S. Dilhaire, and W. Claeys, Thermal coupling in integrated circuits: application to thermal testing, IEEE Journal of Solid-State Circuits, vol.36, issue.1, pp.81-91, 2001.
URL : https://hal.archives-ouvertes.fr/hal-01550679

J. Altet, A. Rubio, J. L. Rossello, and J. Segura, Structural RFIC device testing through built-in thermal monitoring, IEEE Communications Magazine, vol.41, issue.9, pp.98-104, 2003.

M. Onabajo, J. Altet, E. Aldrete-vidrio, D. Mateo, and J. Silva-martinez, Electrothermal design procedure to observe rf circuit power and linearity characteristics with a homodyne differential temperature sensor, IEEE Transactions on, issue.99, pp.1-1, 2011.

J. Altet, D. Mateo, D. G´omez, X. Perpinya, M. Vallvehi et al., DC temperature measurements for power gain monitoring in RF power amplifiers, Proc. IEEE International Test Conference, 2012.

L. Abdallah, H. Stratigopoulos, S. Mir, and J. Altet, Defect-oriented non-intrusive RF test using on-chip temperature sensors, Proc. IEEE VLSI Test Symposium, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00975942