M. Agoyan, J. Dutertre, D. Naccache, and B. Robisson, When Clocks Fail: On Critical Paths and Clock Faults, Smart Card Research and Advanced Application Conference (CARDIS), pp.182-193, 2010.
DOI : 10.1007/978-3-642-12510-2_13

URL : https://hal.archives-ouvertes.fr/emse-00505344

M. A. Aguirre, J. N. Tombs, F. Muñoz, V. Baena, A. Torralba et al., FT-UNSHADES: A new system for SEU injection, analysis and diagnostics over post synthesis netlist, proceedings NASA Military and Aerospace Programmable Logic Devices, 2005.

D. Alexandrescu, L. Anghel, and M. Nicolaidis, New methods for evaluating the impact of single event transients in VDSM ICs Defect and Fault Tolerance in VLSI Systems, Proceedings. 17th IEEE International Symposium on, pp.99-107, 2002.

L. Alexandrescu, M. Anghel, and . Nicolaidis, Simulating Single Event Transients in VDSM ICs for Ground Level Radiation, Journal of Electronic Testing, vol.20, issue.4, pp.413-421, 2004.
DOI : 10.1023/B:JETT.0000039608.48856.33

URL : https://hal.archives-ouvertes.fr/hal-00013725

R. Anderson and M. Kuhn, Low cost attacks on tamper resistant devices, Proceedings of 5th International Workshop on Security Protocols, pp.125-136, 1997.
DOI : 10.1007/BFb0028165

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.29.7028

L. Anghel, Les Limites Technologiques du Silicium et Tolérance aux Fautes, Thèse de Doctorat, 2000.

R. Antoni, B. Leveugle, and . Fehér, Using run-time reconfiguration for fault injection in hardware prototypes, IEEE Int. Symposium on Defect and Fault Tolerance in VLSI Systems, pp.405-413, 2000.
DOI : 10.1109/dftvs.2002.1173521

URL : https://hal.archives-ouvertes.fr/hal-00015042

R. Antoni, B. Leveugle, and . Fehér, Using run-time reconfiguration for fault injection applications, IEEE Transactions on Instrumentation and Measurement, vol.52, issue.5, pp.1468-1473, 2003.
DOI : 10.1109/TIM.2003.817144

URL : https://hal.archives-ouvertes.fr/hal-00015055

L. Aguera, Y. Amat, J. C. Crouzet, J. C. Fabre, E. Laprie et al., Fault Injection For Dependability Validation -A Methodology and Some Applications, IEEE Trans. on Software Engineering, vol.16, pp.166-182, 1990.

]. J. Baraza, J. Gracia, D. Gil, and P. J. Gil, A prototype of a VHDL-based fault injection tool Defect and Fault Tolerance in VLSI Systems, Proceedings. IEEE International Symposium on, Yamanashi, pp.396-404, 2000.

]. P. Bayon, L. Bossuet, A. Aubert, V. Fischer, F. Poucheret et al., Contactless Electromagnetic Active Attack on Ring Oscillator Based True Random Number Generator, Constructive Side-Channel Analysis and Secure Design (COSADE), 2012.
DOI : 10.1007/978-3-642-29912-4_12

URL : https://hal.archives-ouvertes.fr/lirmm-00761824

A. Benso, S. Chiusano, P. Prinetto, and L. Tagliaferri, A C/C++ source-to-source compiler for dependable applications, Proceeding International Conference on Dependable Systems and Networks. DSN 2000, pp.209-303, 2001.
DOI : 10.1109/ICDSN.2000.857517

]. S. Bergaoui, P. Vanhauwaert, and R. Leveugle, A New Critical Variable Analysis in Processor-Based Systems, IEEE Transactions on Nuclear Science, vol.57, issue.4, pp.1992-1999, 2010.
DOI : 10.1109/TNS.2010.2043540

URL : https://hal.archives-ouvertes.fr/hal-00469809

L. Berrojo, I. Gonzales, F. Corno, M. Sonza-reorda, G. Squillero et al., New techniques for speeding-up fault-injection campaigns, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.847-852, 2002.
DOI : 10.1109/DATE.2002.998398

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.99.9872

G. Bertoni, L. Breveglieri, I. Koren, P. Maistri, and V. Piuri, Error analysis and detection procedures for a hardware implementation of the advanced encryption standard, IEEE Transactions on Computers, vol.52, issue.4, pp.492-505, 2003.
DOI : 10.1109/TC.2003.1190590

A. Biswas, P. Racunas, R. Cheveresan, J. Emer, S. Mukherjee et al., Computing Architectural Vulnerability Factors for Address-Based Structures, International Symposium on Computer Architecture (ISCA) 2005
DOI : 10.1109/isca.2005.18

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.108.4760

J. A. Blome, S. Gupta, S. Feng, and S. Mahlke, Cost-efficient soft error protection for embedded microprocessors, Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems , CASES '06, pp.421-431, 2006.
DOI : 10.1145/1176760.1176811

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.61.9870

]. C. Bolchini and C. Sandionigi, Fault Classification for SRAM-Based FPGAs in the Space Environment for Fault Mitigation, IEEE Embedded Systems Letters, vol.2, issue.4, pp.107-110, 2010.
DOI : 10.1109/LES.2010.2073441

]. D. Boneh, R. A. Demillo, and R. J. Lipton, On the Importance of Checking Cryptographic Protocols for Faults, Proceedings of EUROCRYPT "97, pp.37-51, 1997.
DOI : 10.1007/3-540-69053-0_4

A. Bosio, G. D. Et, and . Natale, LIFTING: A Flexible Open-Source Fault Simulator, 2008 17th Asian Test Symposium, pp.35-40, 2008.
DOI : 10.1109/ATS.2008.17

URL : https://hal.archives-ouvertes.fr/lirmm-00343610

. Boud and . Boudenot, L'Environnement Spatial", Collection "Que sais-je ?, Presses Universitaires de France, 1995.

. Boud and . Boudenot, Tenue des circuits aux radiations ionisantes, p.950

. Boue, P. Boue, Y. Petillon, and . Crouzet, MEFISTO-L: a VHDL-based fault injection tool for the experimental assessment of fault tolerance, Digest of Papers. Twenty-Eighth Annual International Symposium on Fault-Tolerant Computing (Cat. No.98CB36224), pp.168-173, 1998.
DOI : 10.1109/FTCS.1998.689467

S. Buchner, M. Olmos, P. Cheynet, R. Velazco, D. Mcmorrow et al., Pulsed laser validation of recovery mechanisms of critical SEEs in an artificial neural network system, Proceedings of 4th European Conference on Radiation and its Effects on Component and Systems (RADECS'97), pp.110-111, 1997.
DOI : 10.1109/23.685230

URL : https://hal.archives-ouvertes.fr/hal-00008247

]. G. Canivet, P. Maistri, R. Leveugle, J. Clédière, F. Valette et al., Glitch and Laser Fault Attacks onto a Secure AES Implementation on a SRAM-Based FPGA, Journal of Cryptology, vol.57, issue.11, pp.247-268, 2010.
DOI : 10.1007/s00145-010-9083-9

URL : https://hal.archives-ouvertes.fr/hal-00550051

H. Cha, E. M. Rudnick, J. H. Patel, R. K. Iyer, and G. S. Choi, A Gate-Level Simulation Environment for Alpha-Particle-Induced Transient Faults, IEEE Trans. on Computers, vol.45, issue.11, pp.1248-1256, 1996.

. Cive, L. Civera, M. Macchiarulo, M. Rebaudengo, M. Sonza-reorda et al., Exploiting FPGA for accelerating fault injection experiments, Proc. 7th Int. On Line Testing Workshop (IOLTW "01), pp.9-13, 2001.

. Cive, L. Civera, M. Macchiarulo, M. Rebaudengo, M. Sonza-reorda et al., FPGAbased Fault Injection for Microprocessor Systems, 10th Asian Test Symp. (ATS'01), p.3004, 2001.

P. Civera, L. Macchiarulo, M. Rebaudengo, M. Sonza-reorda, and M. Violante, New techniques for efficiently assessing reliability of SOCs, Microelectronics Journal, vol.34, issue.1, pp.53-61, 2003.
DOI : 10.1016/S0026-2692(02)00127-1

F. Corno, G. Cumani, M. Sonza-reorda, and G. Squillero, RT-Level Fault Simulation Techniques based on Simulation Command Scripts, Proc. XV Conf. on Design of Circuits and Integrated Systems (DCIS'00), 2000.

]. Jm, G. Daveau, P. Gasiot, A. Roche, J. Blampey et al., An Industrial Fault Injection Platform for Soft-Error Dependability Analysis and Hardening of Complex System-on-a- Chip, Proceeding of the 47th IEEE International Reliability Physics Symposium, 2009.

]. A. Dehbaoui, J. Dutertre, B. Robisson, P. Orsatelli, P. Maurine et al., Injection of transient faults using electromagnetic pulses-practical results on a cryptographic system, 2012.

]. A. Dehbaoui, A. Mirbaha, N. Moro, J. Dutertre, and A. Tria, Electromagnetic Glitch on the AES Round Counter, Constructive Side-Channel Analysis and Secure Design (COSADE), 2013.
DOI : 10.1007/978-3-642-40026-1_2

URL : https://hal.archives-ouvertes.fr/emse-00837514

]. J. Dutertre, J. J. Fournier, A. Mirbaha, D. Naccache, J. Rigaud et al., Review of fault injection mechanisms and consequences on countermeasures design, 2011 6th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), 2011.
DOI : 10.1109/DTIS.2011.5941421

URL : https://hal.archives-ouvertes.fr/emse-00623133

J. Francq, P. Manet, and J. Rigaud, Material emulation of faults on cryptoprocessors, Proceedings of Sophia Antipolis forum of MicroElectronics (SAME), 2006.
URL : https://hal.archives-ouvertes.fr/emse-00494246

N. J. George, C. R. Elks, B. W. Johnson, and J. Lach, Transient fault models and AVF estimation revisited, 2010 IEEE/IFIP International Conference on Dependable Systems & Networks (DSN), pp.477-486, 2010.
DOI : 10.1109/DSN.2010.5544276

]. U. Gunneflo, J. Karlsson, and J. Torin, Evaluation of error detection schemes using fault injection by heavy-ion radiation, [1989] The Nineteenth International Symposium on Fault-Tolerant Computing. Digest of Papers, pp.340-347, 1989.
DOI : 10.1109/FTCS.1989.105590

D. and G. Gutierrez, Single Event Upsets Simulation Tool Functional Description, 2004.

C. Hazucha, S. Svensson, and . Wender, Cosmic-Ray Soft Error Rate Characterization of a Standard 0. 6-f.lM CMOS Process, IEEE Journal of Solid-State Circuits, vol.3, issue.5, pp.1-422, 2000.

L. John, D. A. Hennessy, and . Patterson, Computer Architecture, Fifth Edition: A Quantitative Approach, 2011.

E. Jenn, J. Arlat, M. Rimen, J. Ohlsson, and J. Karlsson, Fault injection into VHDL models: the MEFISTO tool, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing, pp.66-75, 1994.
DOI : 10.1109/FTCS.1994.315656

L. Kafka and O. Novak, FPGA-based fault simulator, 2006 IEEE Design and Diagnostics of Electronic Circuits and systems, pp.218-219, 2006.
DOI : 10.1109/DDECS.2006.1649634

]. J. Karlsson, U. Gunneflo, P. Lidén, and J. Torin, TWO FAULT INJECTION TECHNIQUES FOR TEST OF FAULT HANDLING MECHANISMS, 1991, Proceedings. International Test Conference, pp.140-149, 1991.
DOI : 10.1109/TEST.1991.519504

]. S. Kay and . Kayali, Space Radiation effects on Microelectronics, NASA Jet Propulsion Laboratory

]. R. Koga, Single event functional interrupt (SEFI) sensitivity in EEPROMs, Proceedings of MAPLD, pp.2-12, 1998.
DOI : 10.1109/radecs.1997.698915

J. Lee and A. Shrivastava, Static analysis to mitigate soft errors in register files, Europe Conference (DATE), pp.1367-1372, 2009.

R. Leveugle and K. Hadjiat, Optimized generation of VHDL mutants for injection of transition errors, Proceedings 13th Symposium on Integrated Circuits and Systems Design (Cat. No.PR00843), p.243, 2000.
DOI : 10.1109/SBCCI.2000.876037

URL : https://hal.archives-ouvertes.fr/hal-00015067

R. Leveugle and L. Antoni, Dependability analysis: a new application for run-time reconfiguration, Proceedings International Parallel and Distributed Processing Symposium, 2003.
DOI : 10.1109/IPDPS.2003.1213319

URL : https://hal.archives-ouvertes.fr/hal-00015038

R. Leveugle, A. Calvez, P. Maistri, and P. Vanhauwaert, Statistical fault injection: Quantified error and confidence " Design, Automation & Test in Europe Conference & Exhibition, pp.502-506, 2009.
DOI : 10.1109/date.2009.5090716

]. R. Leveugle and M. B. Jrad, A new methodology for accurate predictive robustness analysis of designs implemented in SRAM-based FPGAs, 2010 17th IEEE International Conference on Electronics, Circuits and Systems, pp.1179-1182, 2010.
DOI : 10.1109/ICECS.2010.5724726

URL : https://hal.archives-ouvertes.fr/hal-00544638

D. Lewis, V. Pouget, F. Beaudoin, G. Haller, P. Perdu et al., Implementing laserbased failure analysis methodologies using test vehicles, IEEE Trans. on Semiconductor Manufacturing, vol.18, pp.2-279, 2005.
DOI : 10.1109/tsm.2005.845014

URL : https://hal.archives-ouvertes.fr/hal-00397918

H. Madeira, M. Rela, F. Moreira, and J. G. Silva, RIFLE: A general purpose pin-level fault injector, Proc. First European Dependable Computing Conference, pp.199-216, 1994.
DOI : 10.1007/3-540-58426-9_132

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.24.4905

P. Maistri, S. Tiran, P. Maurine, I. Koren, and R. Leveugle, Countermeasures against EM analysis for a secured FPGA-based AES implementation, 2013 International Conference on Reconfigurable Computing and FPGAs (ReConFig), 2013.
DOI : 10.1109/ReConFig.2013.6732274

URL : https://hal.archives-ouvertes.fr/hal-00963133

M. Guthaus, MiBench: A free, commercially representative embedded benchmark suite, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538), 2001.
DOI : 10.1109/WWC.2001.990739

]. T. Michel, R. Leveugle, G. Saucier, R. Doucet, and P. Chapier, Taking advantage of ASICs to improve dependability with very low overheads [PLC], Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC, pp.14-18, 1994.
DOI : 10.1109/EDTC.1994.326905

URL : https://hal.archives-ouvertes.fr/hal-00015209

W. Montesinos, J. Liu, and . Torrellas, Using Register Lifetime Predictions to Protect Register Files against Soft Errors, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07), pp.286-296, 2007.
DOI : 10.1109/DSN.2007.99

URL : http://chooyu.cs.uiuc.edu/iacoma-papers/dsn07Shield.pdf

M. Matsumoto and T. Nishimura, Mersenne twister: a 623-dimensionally equidistributed uniform pseudo-random number generator, ACM Transactions on Modeling and Computer Simulation, vol.8, issue.1, pp.3-30, 1998.
DOI : 10.1145/272991.272995

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.215.1141

S. S. Mukherjee, C. Weaver, J. Emer, S. K. Reinhardt, T. Austin et al., A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessorSingle event upset and charge collection measurements using high energy protons and neutrons, 36th IEEE International Symposium on Microarchitecture, pp.29-40, 1994.

K. Pattabiraman, Z. Kalbarczyk, and R. K. Iyer, Application-Based Metrics for Strategic Placement of Detectors, 11th Pacific Rim International Symposium on Dependable Computing (PRDC'05), 2005.
DOI : 10.1109/PRDC.2005.19

J. Quisquater and D. Samyde, Eddy current for magnetic analysis with active sensor, Proceedings of Esmart 2002, 2002.

T. Randy and J. Dick, The state-of-the-art in ic reverse engineering, International Conference on Cryptographic Hardware and Embedded Systems (CHES), pp.363-381, 2009.

F. Restrepo-calle, S. Cuenca-asensi, A. Martinez-alvarez, E. Chielle, and F. Kastensmidt, Efficient metric for register file criticality in processor-based systems, 2014 15th Latin American Test Workshop, LATW, 2014.
DOI : 10.1109/LATW.2014.6841922

]. C. Roscian, A. Sarafianos, J. Dutertre, and A. Tria, Fault Model Analysis of Laser-Induced Faults in SRAM Memory Cells, 2013 Workshop on Fault Diagnosis and Tolerance in Cryptography, pp.89-98, 2013.
DOI : 10.1109/FDTC.2013.17

URL : https://hal.archives-ouvertes.fr/emse-01109133

J. R. Sampson, W. Moreno, and F. Falquez, Validating fault tolerant designs using laser fault injection (LFI), Proc. Symp. on Defect and Fault Tolerance in VLSI Systems (DFT "97), pp.175-183, 1997.

]. A. Savino, S. Di-carlo, G. Politano, A. Benso, A. Bosio et al., Statistical Reliability Estimation of Microprocessor-Based Systems, IEEE Transactions on Computers, vol.61, issue.11, pp.1521-1534, 2012.
DOI : 10.1109/TC.2011.188

URL : http://porto.polito.it/2439063/1/2012_TC_MicroReliability.pdf

M. Hutter, Optical and EM Fault-Attacks on CRT-based RSA : Concrete Results, Proceedings of the 15th Austrian Workshop on Microelectronics (Austrochip), 2007.

S. Skorobogato and R. Anderson, Optical Fault Induction Attacks, Conference on Cryptographic Hardware and Embedded Systems (CHES), pp.2-12, 2003.

T. J. Slegel, R. M. Averill, I. , M. A. Check, B. C. Giamei et al., IBM's S/390 G5 microprocessor design, IEEE Micro, vol.19, issue.2, pp.12-23, 1999.
DOI : 10.1109/40.755464

D. R. Kaeli, SPARC international inc. The SPARC Architecture Manual. Version 8Quantifying Software Vulnerability, Proc. Workshop Radiation Effects and Fault Tolerance in Nanometer Tech. WREFT, pp.323-328, 1991.

A. Taber and E. Normand, Single event upset in avionics, IEEE Transactions on Nuclear Science, vol.40, issue.2, pp.120-126, 1993.
DOI : 10.1109/23.212327

E. Trichina and R. Korkikyan, Multi Fault Laser Attacks on Protected CRT-RSA, 2010 Workshop on Fault Diagnosis and Tolerance in Cryptography, pp.75-86, 2010.
DOI : 10.1109/FDTC.2010.14

S. Volkmar, T. Oliver, and B. Frank, Comparing Different Fault Models Using VERIFY, Proc. 6th Conf. on Dependable Computing for critical Applications. (Grainau, pp.59-76, 1997.

C. T. Weaver, J. Emer, S. S. Mukherjee, and S. K. Reinhardt, Reducing the soft-error rate of a high-performance microprocessor, IEEE Micro, vol.24, issue.6, pp.30-37, 2004.
DOI : 10.1109/MM.2004.86

]. L. Zussa, J. Dutertre, J. Clediere, B. Robisson, and A. Tria, Investigation of timing constraints violation as a fault injection means, 27th Conference on Design of Circuits and Integrated Systems (DCIS), 2012.
URL : https://hal.archives-ouvertes.fr/emse-00742652

K. Chibani, M. Portolan, and R. Leveugle, Fast register criticality evaluation in a SPARC microprocessor, 2014 10th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), pp.1-4, 2014.
DOI : 10.1109/PRIME.2014.6872674

URL : https://hal.archives-ouvertes.fr/hal-01132407

K. Chibani, M. B. Jrad, M. Portolan, and R. Leveugle, Fast accurate evaluation of register lifetime and criticality in a pipelined microprocessor, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC), pp.260-265, 2014.
DOI : 10.1109/VLSI-SoC.2014.7004158

URL : https://hal.archives-ouvertes.fr/hal-01131896

K. Chibani, S. Bergaoui, M. Portolan, and R. Leveugle, Criticality evaluation of embedded software running on a pipelined microprocessor and impact of compilation options, 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp.778-781, 2014.
DOI : 10.1109/ICECS.2014.7050101

URL : https://hal.archives-ouvertes.fr/hal-01103166

K. Chibani, M. Portolan, and R. Leveugle, Evaluating application-aware soft error effects in digital circuits without fault injections or probabilistic computations, 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS), 2016.
DOI : 10.1109/IOLTS.2016.7604672

URL : https://hal.archives-ouvertes.fr/hal-01444967

K. Chibani, M. Portolan, and R. Leveugle, Application-aware soft error sensitivity evaluation without fault injections -Application to Leon3, European Conference on Radiation and its Effects on Components and Systems (RADECS), 2016.
DOI : 10.1109/iolts.2016.7604672

URL : https://hal.archives-ouvertes.fr/hal-01459834

K. Chibani, M. Portolan, and R. Leveugle, Analyse de criticité des registres dans un microprocesseur SPARC, 17èmes Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM "14), 2014.