R. Brain, 14 nm technology leadership. Technology and Manufacturing Day, 2017.

L. T. Clark, ASAP7: A 7-nm finFET predictive process design kit, Microelectronics Journal, vol.53, pp.105-115, 2016.
DOI : 10.1016/j.mejo.2016.04.006

URL : http://doi.org/10.1016/j.mejo.2016.04.006

N. Collaert, Device architectures for the 5nm technology node and beyond, p.2016, 2016.

P. Hashemi, Replacement high-K/metal-gate High-Ge-content strained SiGe FinFETs with high hole mobility and excellent SS and reliability at aggressive EOT ???7?? and scaled dimensions down to sub-4nm fin widths, 2016 IEEE Symposium on VLSI Technology, pp.1-2, 2016.
DOI : 10.1109/VLSIT.2016.7573392

Y. Huang, GAAFET Versus Pragmatic FinFET at the 5nm Si-Based CMOS Technology Node, IEEE Journal of the Electron Devices Society, vol.5, issue.3, pp.164-169, 2017.
DOI : 10.1109/JEDS.2017.2689738

K. Mistry, 10 nm technology leadership, Technology and Manufacturing Day, Intel. 2017. mobility channels, Electron Devices Meeting (IEDM), 2016 IEEE International, pp.2-7, 2017.

E. Sicard, Introducing 14-nm FinFET technology in Microwind. Application note on-line, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01541171

E. Sicard, Introducing 10-nm FinFET technology in Microwind. Application note on-line, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01551695

A. Steegen, Technology innovation in an IoT Era, VLSI Technology (VLSI Technology), 2015 Symposium on, pp.170-171, 2015.
DOI : 10.1109/vlsit.2015.7223643

S. Y. Wu, A 7nm CMOS platform technology featuring 4 th generation FinFET transistors with a 0.027 um 2 high density 6-T SRAM cell for mobile SoC applications, Electron Devices Meeting (IEDM), 2016 IEEE International, pp.2-6, 2016.
DOI : 10.1109/iedm.2016.7838333

R. Xie, A 7nm FinFET technology featuring EUV patterning and dual strained high Zheng, P, 2016.
DOI : 10.1109/iedm.2016.7838334