An Out-of-Order Superscalar Processor on FPGA: The ReOrder Buffer Design - Archive ouverte HAL Accéder directement au contenu
Communication Dans Un Congrès Année : 2012

An Out-of-Order Superscalar Processor on FPGA: The ReOrder Buffer Design

Résumé

Embedded systems based on FPGA (Field-Programmable Gate Arrays) must exhibit more performance for new applications. However, no high-performance superscalar soft processor is available on the FPGA, because the superscalar architecture is not suitable for FPGAs. High-performance superscalar processors execute instructions out-of-order and it is necessary to re-order instructions after execution. This task is performed by the ROB (ReOrder Buffer) that uses usually multi-ports RAM, but only two-port buffers are available in FPGA. In this work, we propose a FPGA friendly ROB (ReOrder Buffer) architecture using only 2 ports RAM called a multi-bank ROB architecture. The ROB is the main and more complex structure in an out-of-order superscalar processor. Depending on processor architecture parameters, the FPGA implementation of our ROB compared to a classic architecture, requires 5 to 7 times less registers, 1.5 to 8.3 times less logic gates and 2.6 to 32 times less RAM blocks.
Fichier non déposé

Dates et versions

hal-01269696 , version 1 (05-02-2016)

Identifiants

Citer

Mathieu Rosière, Jean-Lou Desbarbieux, Nathalie Drach, Franck Wajsbürt. An Out-of-Order Superscalar Processor on FPGA: The ReOrder Buffer Design. DATE Design Automation and Test in Europe Conference, Mar 2012, Dresden, Germany. pp.1549-1554, ⟨10.1109/DATE.2012.6176719⟩. ⟨hal-01269696⟩
122 Consultations
0 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More