S. Brown and J. Rose, FPGA and CPLD architectures: a tutorial, IEEE Design & Test of Computers, vol.13, issue.2, 1996.
DOI : 10.1109/54.500200

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.94.737

R. Tessier, I. Kuon, and J. Rose, FPGA Architecture: Survey and Challenges, Foundations and Trends in Electronic Design Automation, pp.135-253, 2007.

M. Corporation, Single-event effects in FPGAs: Ground Level and Atmospheric Background Radiation Effects in FPGAs, 2007.

U. Legat, A. Biasizzo, and F. Novak, Self-reparable system on FPGA for single event upset recovery, 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), pp.1-6, 2011.
DOI : 10.1109/ReCoSoC.2011.5981512

. Microsemi, IGLOO nano Low Power Flash FPGAs with Flash*Freeze Technology, 2015.

. Microsemi, Military ProASIC3/EL Low Power Flash FPGAs with Flash*Freeze Technology, 2014.

A. Corporation, Logic Array Blocks and Adaptive Logic Modules in Stratix III Devices, Stratix III devices handbook, 2009.

A. Corporation, MAX II device handbook, pp.1-3, 2005.

D. Xilinx and . Feb, Spartan-3AN FPGA family data sheet, 2007.

. Altera, Stratix V Device Overview, 2015.

A. Sutton, No Room for Error: Creating Highly Reli- able, High-Availability FPGA Designs, 2012.

. Laprie, Taxonomy showing relationship between Dependability & Security and Attributes, Threats and Means, p.1, 2010.
DOI : 10.1007/978-3-642-79789-7_1

A. Avizienis, J. C. Laprie, B. Randell, and C. Landwehr, Basic concepts and taxonomy of dependable and secure computing, IEEE Transactions on Dependable and Secure Computing, vol.1, issue.1, pp.11-33, 2004.
DOI : 10.1109/TDSC.2004.2

K. Dhiraj and . Pradhan, Fault-Tolerant Computer System Design, 1996.

G. H. Quinn, . J. Krone, and R. M. Caffrey, Radiation-induced multi-bit upsets in SRAM-based FPGAs, IEEE Transactions on Nuclear Science, vol.52, issue.6, pp.2455-2461, 2005.
DOI : 10.1109/TNS.2005.860742

. H. Quinn, G. K. Morgan, . J. Krone, and . M. Caffrey, Static Proton and Heavy Ion Testing of the Xilinx Virtex-5 Device, 2007 IEEE Radiation Effects Data Workshop, pp.177-184, 2007.
DOI : 10.1109/REDW.2007.4342561

M. Wirthlina, H. Takaib, and A. Hardinga, Soft error rate estimations of the Kintex-7 FPGA within the ATLAS Liquid Argon (LAr) Calorimeter" Topical Workshop on Electronics and Particle Physics (TWEPP'13), pp.23-27, 2013.

F. Lima-kastensmidt, L. Carro, and R. Reis, Fault-Tolerance Techniques for SRAM-Based FPGAs, 2006.

H. Quinn, P. Graham, J. Krone, M. Caffrey, S. Rezgui et al., Radiation-induced multi-bit upsets in SRAM-based FPGAs, IEEE Transactions on Nuclear Science, vol.52, issue.6, pp.2455-2461, 2005.
DOI : 10.1109/TNS.2005.860742

G. E. Cannon, . D. Heidel, . A. Klein-osowski, R. P. Oldiges, and H. Tang, Multi-bit upsets in 65nm SOI SRAMs, 2008 IEEE International Reliability Physics Symposium, pp.195-201, 2008.
DOI : 10.1109/RELPHY.2008.4558885

D. Radaelli, H. Puchner, S. Wong, and S. Daniel, Investigation of multi-bit upsets in a 150 nm technology SRAM device, IEEE Transactions on Nuclear Science, vol.52, issue.6, pp.2433-2437, 2005.
DOI : 10.1109/TNS.2005.860675

E. Maricau and G. Gielen, Analog IC Reliability in Nanometer CMOS, CMOS Reliability Overview, Analog Circuits and Signal Processing, issue.2 1, 2013.
DOI : 10.1007/978-1-4614-6163-0

X. Y. Li, F. Wang, T. La, and Z. Ling, FPGA as Process Monitor???An Effective Method to Characterize Poly Gate CD Variation and Its Impact on Product Performance and Yield, IEEE Transactions on Semiconductor Manufacturing, vol.17, issue.3, pp.267-272, 2004.
DOI : 10.1109/TSM.2004.831934

M. Abramovici and C. E. Stroud, BIST-based delay-fault testing in FPGAs, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002), pp.549-558, 2003.
DOI : 10.1109/OLT.2002.1030195

P. Girard, O. Heron, S. Pravossoudovitch, and M. , High Quality TPG for Delay Faults in Look-Up Tables of FPGAs, Second IEEE International Workshop on Electronic Design, Test and Applications, pp.83-88, 2004.
DOI : 10.1109/DELTA.2004.10052

URL : https://hal.archives-ouvertes.fr/lirmm-00108830

M. Sawant, Single Event Effects Complicate Military Avionics System Design, Journal of Military Electronics and Computing, 2012.

J. D. Gorbertt and G. M. Swift, Rad-hard SRAM FPGAs enable vast improvements in space exploration, pp.408-559, 2012.

R. Roosta, A Comparison of Radiation-Hard and Radiation Tolerant FP- GAs for Space Applications, NASA Electronic Parts and Packaging Program, JPL D-31228, 2004.

O. Kononchuk and B. Y. Nguyen, Silicon-On-Insulator (SOI) Technology: Manufacture and Applications, 2014.

E. Simoen, A. Mercha, C. Claeys, and N. Lukyanchikova, Low-frequency noise in silicon-on-insulator devices and technologies, Solid-State Electronics, vol.51, issue.1, pp.16-37, 2007.
DOI : 10.1016/j.sse.2006.12.003

G. Mantelet, M. Briet, G. Rouxel, S. Hachad, B. Bancelin et al., ATMEL ATF280E rad hard SRAM based reprogrammable FPGA SEE test results, 2009 European Conference on Radiation and Its Effects on Components and Systems, pp.606-608, 2009.
DOI : 10.1109/RADECS.2009.5994730

K. Narayana-murty, White Paper On Silicon On Insulator (SOI) Implementation, Infotech Enterprises Ltd, 2009.

H. Xiaowei, W. Lihua, Z. Yan, L. Yan, Z. Qianli et al., A radiation-hardened SOI-based FPGA, Journal of Semiconductors, vol.32, issue.7, pp.75012-75013, 2011.

Z. Yan, W. Lihua, H. Xiaowei, L. Yan, Z. Qianli et al., An IO block array in a radiation-hardened SOI SRAM-based FPGA, Journal of Semiconductors, vol.33, issue.1, pp.15010-15011, 2012.

S. E. Kerns, B. D. Shafer, L. R. Rockett, J. S. Pridmore, D. F. Berndt et al., The design of radiation-hardened ICs for space: a compendium of approaches, Proceedings of the IEEE, vol.76, issue.11, pp.1470-1509, 1988.
DOI : 10.1109/5.90115

K. Haque and P. Beckett, Radiation-Hard Field-Programmable Gate Arrays Configuration Technique Using Silicon on Sapphire, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.232-241, 2014.
DOI : 10.1109/TVLSI.2013.2242101

L. B. Freeman, Critical charge calculations for a bipolar SRAM array, IBM Journal of Research and Development, vol.40, issue.1, pp.119-129, 1996.
DOI : 10.1147/rd.401.0119

Z. Yuan-yuan-wang, L. Wang, and . Zhang, A new 6-transistor SRAM cell for low power cache design, IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT'12), pp.1-3, 2012.

L. Atias, A. Teman, and A. Fish, Single event upset mitigation in low power SRAM design, 2014 IEEE 28th Convention of Electrical & Electronics Engineers in Israel (IEEEI), pp.1-5, 2014.
DOI : 10.1109/EEEI.2014.7005796

T. Calin, M. Nicolaidis, and R. Velazco, Upset hardened memory design for submicron CMOS technology, IEEE Transactions on Nuclear Science, vol.43, issue.6, pp.2874-2878, 1996.
DOI : 10.1109/23.556880

URL : https://hal.archives-ouvertes.fr/hal-01412461

M. Shayan, V. Singh, A. D. Singh, and M. Fujita, SEU tolerant robust memory cell design, 2012 IEEE 18th International On-Line Testing Symposium (IOLTS), pp.13-18, 2012.
DOI : 10.1109/IOLTS.2012.6313834

S. M. Jahinuzzaman, D. J. Rennie, and M. Sachdev, A Soft Error Tolerant 10T SRAM Bit-Cell With Differential Read Capability, IEEE Transactions on Nuclear Science, vol.56, issue.6, pp.3768-3773, 2009.
DOI : 10.1109/TNS.2009.2032090

A. Pescovsky, O. Chertkow, L. Atias, and A. Fish, SEU Hardening: Incorporating an Extreme Low Power Bitcell Design (SHIELD), 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), pp.1-3, 2014.
DOI : 10.1109/S3S.2014.7028236

L. Atias, A. T. , and A. Fish, A 13T radiation hardened SRAM bitcell for low-voltage operation, 2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), pp.1-2, 2013.
DOI : 10.1109/S3S.2013.6716579

A. Michael and . Bajura, Models and Algorithmic Limits for an ECC-Based Approach to Hardening Sub-100-nm SRAMs, IEEE Transactions on Nuclear Science, vol.50, issue.4, pp.935-945, 2007.

F. Kastensmidt, Designing Single Event Upset Mitigation Techniques for Large SRAM-Based FPGA Components, 2003.

S. Mitra and E. J. Mccluskey, Design of redundant systems protected against common-mode failures, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001, pp.190-195, 2001.
DOI : 10.1109/VTS.2001.923438

X. Inc, Xilinx TMRTool: Industry's First Triple Modular Redundancy Development Tool for Reconfigurable FPGAs, p.2015

C. Poivey, D. Petrick, D. Espinosa, A. Lesea, K. Label et al., Effectiveness of Internal vs. External SEU Scrubbing Mitigation Strategies in a Xilinx FPGA: Design, Test, and Analysis, 9th European Conference on Radiation and Its Effects on Components and Systems (RADECS'07), pp.1-8, 2007.

J. Heiner, N. Collins, and M. Wirthlin, Fault Tolerant ICAP Controller for High-Reliable Internal Scrubbing, 2008 IEEE Aerospace Conference, pp.1-10, 2008.
DOI : 10.1109/AERO.2008.4526471

L. Jones, Single Event Upset (SEU) detection and correction using Virtex-4 devices, Xilinx Inc, 2007.

A. Harding and M. Wirthlin, Improving the Reliability of Xilinx 7 Series FPGAs through Configuration Scrubbing, 20th Annual Fellowship Symposium?Utah NASA Space Grant Consortium, 2014.

M. Caffrey, C. Carmichael, and A. Salazar, Correcting Single-Event Upsets (SEU) through Virtex partial configuration, Xilinx Technical report, p.216, 2000.

M. Gokhale, P. Graham, E. Johnson, N. Rollins, and M. Wirthlin, Dynamic reconfiguration for management of radiation-induced faults in FPGAs, 18th International Symposium on Parallel and Distributed Processing, p.145, 2004.

G. H. Asadi and M. B. Tahoori, Soft error mitigation for SRAM-based FP- GAs, 23rd IEEE VLSI Test Symposium (VTS'05), pp.207-212, 2005.
DOI : 10.1109/vts.2005.75

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.138.5527

B. Pratt, M. Caffrey, P. Graham, K. Morgan, and M. Wirthlin, Improving FPGA Design Robustness with Partial TMR, 2006 IEEE International Reliability Physics Symposium Proceedings, pp.226-232, 2006.
DOI : 10.1109/RELPHY.2006.251221

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.125.6067

H. Wei, W. Yueke, X. Kefei, and C. Li, SEU readback interval strategy of SRAM-based FPGA for space application, IEEE International Conference on Computer Science and Automation Engineering, vol.4, issue.11, pp.238-241, 2011.

K. Dhiraj, C. Pradhan, and . Argyrides, Multiple event upsets aware FPGAs using protected schemes", In book: Fault-Tolerant Distributed Algorithms on VLSI Chips, Dagstuhl Seminar Proceedings, pp.1862-4405, 2009.

S. Phill-park, D. Lee, and K. Roy, Soft-error-resilient FPGAs using built-in 2-d hamming product code, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.248-256, 2012.

Q. Zhao, Y. Ichinomiya, M. Amagasaki, M. Iida, and T. Sueyoshi, A Novel Soft Error Detection and Correction Circuit for Embedded Reconfigurable Systems, IEEE Embedded Systems Letters, vol.3, issue.3, pp.89-92, 2011.
DOI : 10.1109/LES.2011.2167213

F. P. Miller, A. F. Vandome, and J. Mcbrewster, Hamming Codes, 2009.

M. J. Bernhard and . Leiner, LDPC codes?a brief tutorial, 2005.

B. Sklar, Fundamentals of Turbo Codes, Digital Communications: Fundamentals and Applications, 2001.

C. K. Clarke, Reed-Solomon Error Correction, British Broadcasting Corporation R& D White Paper (WHP031), 2002.

P. Varsha, D. G. Patil, R. R. Chougule, and . Naik, Viterbi Algorithm for Error Detection and Correction, IOSR Journal of Electronics and Communication Engineering, pp.60-65, 2013.

S. Tam, Single Error Correction and Double Error Detection Application Note: Virtex?II Pro, Virtex-4, and Virtex-5 Families, Xilinx Corporation, p.645, 2006.

K. Chapman, SEU Strategies for Virtex-5 Devices Application Note: Virtex-5 Family, p.864, 2010.

C. Bolchini, A. Miele, and M. D. Santambrogio, TMR and Partial Dynamic Reconfiguration to mitigate SEU faults in FPGAs, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), pp.87-95, 2007.
DOI : 10.1109/DFT.2007.25

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.458.2227

Y. Cai, Y. Zhao, and L. Lan, Implementation of a reconfigurable computing system for space applications, International Conference on System Science, Engineering Design and Manufacturing Informatization (ICSEM'11), pp.360-363, 2011.

M. G. Gericota, L. F. Lemos, G. R. Alves, M. M. Barbosa, and J. M. Ferreira, A frame-work for fault tolerant real time systems based on reconfigurable FPGAs, IEEE Conference on Emerging Technologies and Factory Automation, pp.131-138, 2006.
DOI : 10.1109/etfa.2006.355409

URL : http://repositorio-aberto.up.pt/bitstream/10216/84054/2/136018.pdf

Y. Ichinomiya, S. Tanoue, M. Amagasaki, M. Iida, M. Kuga et al., Improving the Robustness of a Softcore Processor against SEUs by Using TMR and Partial Reconfiguration, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, pp.47-54, 2010.
DOI : 10.1109/FCCM.2010.16

A. Ilias, K. Papadimitriou, and A. Dollas, Combining duplication, partial reconfiguration and software for on-line error diagnosis and recovery in SRAMbased FPGAs, IEEE Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM'10), pp.73-76, 2010.

F. Lahrach, A. Doumar, and E. Chatelet, Fault Tolerance of Multiple Logic Faults in SRAM-Based FPGA Systems, 2011 14th Euromicro Conference on Digital System Design, pp.231-238, 2011.
DOI : 10.1109/DSD.2011.33

H. Pham, S. Pillement, and D. Demigny, Evaluation of faultmitigation schemes for fault tolerant dynamic MPSoC, International Conference on Field Programmable Logic and Applications (FPL'10), pp.159-162, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00536720

J. Praveen-kumar-samudrala, S. Ramos, and . Katkoori, Selective triple Modular redundancy (STMR) based single-event upset (SEU) tolerant synthesis for FPGAs, IEEE Transactions on Nuclear Science, vol.51, issue.5, pp.2957-2969, 2004.
DOI : 10.1109/TNS.2004.834955

Q. Zhong-zhou, J. Chen-nan, Y. L. Xie, and S. Y. Jiang, Faulttolerance with dual module redundancy for dynamic reconfigurable FPGAs, International Conference on Applied Superconductivity and Electromagnetic Devices (ASEMD'11), pp.1-4, 2011.

F. G. De-lima-kastensmidt, G. Neuberger, R. F. Hentschke, L. Carro, and R. Reis, Designing fault-tolerant techniques for SRAM-based FPGAs, IEEE Design and Test of Computers, vol.21, issue.6, pp.552-562, 2004.
DOI : 10.1109/MDT.2004.85

K. S. Morgan, D. L. Mcmurtrey, B. H. Pratt, and M. J. Wirthlin, A Comparison of TMR With Alternative Fault-Tolerant Design Techniques for FPGAs, IEEE Transactions on Nuclear Science, vol.54, issue.6, pp.2065-2072, 2007.
DOI : 10.1109/TNS.2007.910871

B. Pratt, M. Caffrey, J. F. Carroll, P. Graham, K. Morgan et al., Fine-Grain SEU Mitigation for FPGAs Using Partial TMR, IEEE Transactions on Nuclear Science, vol.55, issue.4, pp.2274-2280, 2008.
DOI : 10.1109/TNS.2008.2000852

M. Niknahad, O. Sander, and J. Becker, FGTMR - Fine grain redundancy method for reconfigurable architectures under high failure rates, The 16th North-East Asia Symposium on Nano, Information Technology and Reliability, pp.186-191, 2011.
DOI : 10.1109/NASNIT.2011.6111144

M. Niknahad, O. Sander, and J. Becker, Fine grain fault tolerance — A key to high reliability for FPGAs in space, 2012 IEEE Aerospace Conference, pp.1-10, 2012.
DOI : 10.1109/AERO.2012.6187233

S. Habinc, Suitability of Reprogrammable FPGAs in Space Applications: Feasibility Report, 2002.

?. Hung and . Pham, Embedded computing architecture with dynamic hardware reconfiguration for intelligent automotive systems, Institut de recherche en informatique et systemes aleatoires (IRISA)? CAIRN, 2010.

X. Inc, Virtex-5 FPGA User Guide, p.190, 2012.

X. Inc, Radiation-Hardened, Space-Grade Virtex-5QV Family Overview, 2014.

I. Sourdis, C. Strydis, C. S. Bouganis, B. Falsafi, G. N. Gaydadjiev et al., The DeSyRe Project: On?Demand System Reliability, Euromicro Conference on Digital System Design (DSD'12), pp.335-342, 2012.
DOI : 10.1109/dsd.2012.127

J. A. Walker, M. A. Trefzer, S. J. Bale, and A. M. Tyrrell, PAnDA: A Reconfigurable Architecture that Adapts to Physical Substrate Variations, IEEE Transactions on Computers, vol.62, issue.8, pp.1584-1596, 2013.
DOI : 10.1109/TC.2013.59

M. Krstic, S. Weidling, V. Petrovic, and E. S. Sogomonyan, Enhanced architectures for soft error detection and correction in combinational and sequential circuits, Microelectronics Reliability, vol.56, issue.1, pp.212-220, 2016.
DOI : 10.1016/j.microrel.2015.10.022

D. Picard and L. Lagadec, Fast prototyping environment for embedded reconfigurable units, 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), pp.1-8, 2011.
DOI : 10.1109/ReCoSoC.2011.5981496

URL : https://hal.archives-ouvertes.fr/hal-00598833

S. Habinc and G. Research, Functional Triple Modular Redundancy (FTMR): VHDL Design Methodology for Redundancy in Combinatorial and Sequential Logic, Design and Assessment Report, issue.2, 2002.

D. Francisco and G. Prado, Tutorial on FPGA Routing, 2006.

I. Polian, J. P. Hayes, S. M. Reddy, and B. Becker, Modeling and Mitigating Transient Errors in Logic Circuits, IEEE Transactions on Dependable and Secure Computing, vol.8, issue.4, pp.537-547, 2011.
DOI : 10.1109/TDSC.2010.26

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.261.3240

N. Miskov-zivanov and D. Marculescu, Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, issue.10, pp.1614-1627, 2010.
DOI : 10.1109/TCAD.2010.2061131

X. Li, Tolerating Radiation-Induced Transient Faults in Modern Processors, International Journal of Parallel Programming, vol.38, issue.2
DOI : 10.1007/s10766-009-0114-9

C. A. Lisboa, Dealing with Radiation Induced Long Duration Transient Faults in Future Technologies, 2009.
DOI : 10.1109/ets.2007.39

A. Matrosova, E. Loukovnikova, S. Ostanin, E. Zinchuck, and . Nikolaeva, Test Generation for Single and Multiple Stuck-at Faults of a Combinational Circuit Designed by Covering Shared ROBDD with CLBs, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), pp.206-214, 2007.
DOI : 10.1109/DFT.2007.42

S. Pontarelli, G. C. Cardarilli, A. Leandri, M. Ottavi, and A. Salsano, A self-checking cell logic block for fault tolerant FPGAs, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353), pp.477-480, 2002.
DOI : 10.1109/ISCAS.2002.1010496

D. P. Vasudevan, P. K. Lala, and J. P. Parkerson, Self-Checking Carry-Select Adder Design Based on Two-Rail Encoding, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.54, issue.12, pp.2696-2705, 2007.
DOI : 10.1109/TCSI.2007.910537

A. Fariborz, B. Kerry, M. J. Hargrove, N. J. Rohrer, and S. Peter, SOI CMOS dynamic circuits having threshold voltage control, US Patent No, vol.6433587, p.1, 2002.

M. Sonza-reorda, M. Violante, C. Meinhardt, and R. Reis, A low-cost SEE mitigation solution for soft-processors embedded in systems on programmable chips, Design, Automation & Test in Europe Conference Exhibition (DATE'09), pp.352-357, 2009.

S. Yu, Fault tolerance in adaptive real-time computing systems, 2001.

C. Benkeser, A. Burg, T. Cupaiuolo, and Q. Huang, Design and Optimization of an HSDPA Turbo Decoder ASIC, IEEE Journal of Solid-State Circuits, vol.44, issue.1, pp.98-106, 2009.
DOI : 10.1109/JSSC.2008.2007166

P. J. Black and T. H. Meng, A 1-Gb/s, four-state, sliding block Viterbi decoder, IEEE Journal of Solid-State Circuits, vol.32, issue.6, pp.797-805, 1997.
DOI : 10.1109/4.585246

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.320.3828

C. Hsie, C. B. Chang, C. Shung, and . Lee, A Reed-Solomon product-code (RS-PC) decoder chip for DVD applications, IEEE Journal of Solid-State Circuits, vol.36, issue.2, pp.229-238, 2001.
DOI : 10.1109/4.902763

E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, Statistically Aware SRAM Memory Array Design, 7th International Symposium on Quality Electronic Design (ISQED'06), pp.25-30, 2006.
DOI : 10.1109/ISQED.2006.122

?. Chun, . Hsu, ?. Ching, and . Fenwu, High?performance 3D?SRAM architecture design, IEEE Asia Pacific Conference Circuits and Systems (APCCAS'10), pp.907-910, 2010.

J. Hussein and G. Swif, Mitigating single?event upsets (SEUs), Xilinx White Paper: 7 Series FPGAs, pp.395-2012

E. Ibe, H. Taniguchi, Y. Yahagi, K. Shimbo, and T. Toba, Impact of Scaling on Neutron-Induced Soft Error in SRAMs From a 250 nm to a 22 nm Design Rule, IEEE Transactions on Electron Devices, vol.57, issue.7, pp.1527-1538, 2010.
DOI : 10.1109/TED.2010.2047907

M. Maniatakos, M. K. Michael, and Y. Makris, Vulnerability-based Interleaving for Multi-Bit Upset (MBU) protection in modern microprocessors, 2012 IEEE International Test Conference, pp.1-8, 2012.
DOI : 10.1109/TEST.2012.6401594

N. Mehta, Xilinx 7 series FPGAs: The logical advantage, Xilinx White Paper: 7 Series FPGAs, WP405 (v1.0), 2012.

M. Pathak and S. K. Lim, Reliability and performance-aware 3D SRAM design, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2011.
DOI : 10.1109/MWSCAS.2011.6026429

B. Michael and . Pursley, Introduction to Digital Communications, 2005.

P. M. Rao, M. Ebrahimi, R. Seyyedi, and M. B. Tahoori, Protecting SRAM-based FPGAs against multiple bit upsets using erasure codes, IEEE Design Automation Conference (DAC'14), pp.1-6, 2014.
DOI : 10.1145/2593069.2593191

J. Daniel and . Costello-shu-lin, Error Control Coding: Fundamentals and Applications, 2004.

L. Song, M. S. Meng-?-lin-yu, and . Shaffer, 10- and 40-Gb/s forward error correction devices for optical communications, IEEE Journal of Solid-State Circuits, vol.37, issue.11, pp.1565-1573, 2002.
DOI : 10.1109/JSSC.2002.803931

I. Xilinx, 7 Series FPGAs Configuration, 2015.

J. Handy and I. Corporation, Understanding the Intel/Micron 3D XPoint TM Memory, Storage Development Conference (SDC), 2015.

K. Gibb and T. , Resistive RAM (ReRAM) Memory is Finally Here, Design Lines Memory, EETimes, 2015.

Y. Pan and T. Zhang, DRAM?Based FPGA Enabled by Three? Dimensional (3D) Memory Stacking, ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2010.
DOI : 10.1145/1723112.1723184

Y. Yang-liauw, Z. Zhang, W. Kim, A. E. Gamal, and S. S. Wong, Nonvolatile 3D-FPGA with monolithically stacked RRAM-based configuration memory, IEEE International on Solid-State Circuits Conference (ISSCC'12 Digest of Technical Papers, pp.406-408, 2012.

A. .. Architecture-reconfigurable-dynamiquement-tolérante-aux-fautes, A. Block, and B. , Application Specific Integrated Circuit, Bit Error Rate BIST . . . . . . . . . Built-In Self Test BRAM . . . . . . . Block Random Access Memory BTI . . . . . . . . . . . Bias Temperature Instability CAD . . . . . . . . . Computer-Aided Design

C. Block, C. Block, and C. , Concurrent Error Detection, Complex Programmable Logic Device CRAM . . . . . . . Configuration Random-Access Memory

N. Negative-bias-temperature-instability, N. Programmable-logic-device, and P. , Negative Metal Oxide Semiconductor PLD Positive Metal Oxide Semiconductor PRM Single-Error Correcting, Partially Reconfigurable Module PRR . . . . . . . . . . Partial Reconfigurable Region PTMR . . . . . . . Partial Triple Modular Redundancy QMR . . . . . . . . . Quadruple Modular Redundancy R3M . . . . . . . . . . Run-rime Reconfigurable Resource Manager SBU . . . . . . . . . . Single Bit Upset SEB . . . . . . . . . . Single Event Burnout SEC