A. Acquaviva, Assessing Task Migration Impact on Embedded Soft Real-Time Streaming Multimedia Applications, EURASIP Journal on Embedded Systems, vol.38, issue.7, p.p. ??????
DOI : 10.1145/367701.367728

A. Agarwal, D. Kranz, and V. Natarajan, Automatic partitioning of parallel loops and data arrays for distributed shared-memory multiprocessors, Parallel and Distributed Systems, IEEE Transactions on ?.? (????), pp. ???????. issn: ????-????. doi, pp.10-1109
DOI : 10.1109/71.466632

A. Agarwal, C. Iskander, and R. Shankar, « Survey of network on chip (noc) architectures & contributions, Journal of engineering, Computing and Architecture ?.? (????), p.pp. ?????

B. Akesson, Composability and Predictability for Independent Application Development,Verification, and Execution, Circuits & Systems, p.pp. ?????
DOI : 10.1007/978-1-4419-6460-1_2

. [. Akesson, Virtual Platforms for Mixed Time-Criticality Applications: The CoMPSoC Architecture and SDF? Design Flow, Proceedings of workshop on Quo Vadis, Virtual Platforms? Challenges and Solutions for Today and Tomorrow. ????

A. Gabriel-marchesan, Evaluating the impact of task migration in multiprocessor systems-on-chip, Proceedings of the ??rd symposium on Integrated circuits and system design. SBCCI '??. ACM, ????, p.pp. ?????

T. Altilar and Y. Paker, « Minimum Overhead Data Partitioning Algorithms for Parallel Video Processing, Proceedings Domain Decomposition Methods Conference. ????, p.???????

A. Atamtürk, W. Martin, and . Savelsbergh, Integer-Programming Software Systems, Annals of Operations Research ???.? (????), p.pp. ??????
DOI : 10.1007/s10479-005-3968-2

P. Axer, Response-Time Analysis of Parallel Fork-Join Workloads with Real-Time Constraints, 2013 25th Euromicro Conference on Real-Time Systems
DOI : 10.1109/ECRTS.2013.31

P. Baptiste, C. L. Pape, and W. Nuijten, Constraint-Based Scheduling. Kluwer international series in engineering and computer science
URL : https://hal.archives-ouvertes.fr/inria-00123562

[. Batten, ECE ???? Complex Digital ASIC Design Course Overview

[. Benini and G. D. Micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.10-1109
DOI : 10.1109/2.976921

[. Benini, P2012: Building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE)
DOI : 10.1109/DATE.2012.6176639

[. Bertozzi, Supporting task migration in multi-processor systems-onchip: a feasibility study, Proceedings of the conference on Design, automation and test in Europe: Proceedings. DATE '??. EDAA, ????, p.pp. ?????

S. [. Bhattacharya and . Bhattacharyya, « Parameterized dataflow modeling for DSP systems, Signal Processing

S. ??-]-shuvra, . Bhattacharyya, . Edf, B. Deprettere, S. Shuvra et al., Handbook of Signal Processing Systems, Dynamic Dataflow Graphs, pp.978-979

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, pp.????-????
DOI : 10.1145/1132952.1132953

R. D. Blumofe, Cilk: An Efficient Multithreaded Runtime System, Proceedings of the Fifth ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming. PPOPP '??, 209936.
DOI : 10.1006/jpdc.1996.0107

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.18.3175

[. Bonfietti, A Constraint Based Approach to Cyclic RCPSP Principles and Practice of Constraint Programming ? CP ????, Jimmy Lee. Vol. ????. Lecture Notes in Computer Science

[. Bonfietti, An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
DOI : 10.1109/DATE.2010.5456924

E. [. Buck and . Lee, Scheduling dynamic dataflow graphs with bounded memory using the token flow model, IEEE International Conference on Acoustics Speech and Signal Processing
DOI : 10.1109/ICASSP.1993.319147

. Carlson, Programming in the partitioned global address space model

. L. ??-]-t and J. G. Casavant, « A taxonomy of scheduling in general-purpose distributed computing systems, Software Engineering, IEEE Transactions on ??.? (????), p.pp. ??? ????

?. B-i-b-l-i-o-g-r-aphy and . Chapman, Implementing OpenMP on a high performance embedded multicore MPSoC, Parallel Distributed Processing, ????. IPDPS ????. IEEE International Symposium on. ????, pp. ???. doi: 10.1109/IPDPS, 2009.

T. Chen and J. Baer, A performance study of software and hardware data prefetching schemes, Proceedings the ??st Annual International Symposium on. ????, pp. ???????. doi: 10.1109/ISCA, 1994.
DOI : 10.1145/192007.192030

B. Choi, DeNovo: Rethinking the Memory Hierarchy for Disciplined Parallelism, 2011 International Conference on Parallel Architectures and Compilation Techniques, 1921.
DOI : 10.1109/PACT.2011.21

. [. Commoner, Marked directed graphs, Journal of Computer and System Sciences, vol.5, issue.5, pp.22-000080013
DOI : 10.1016/S0022-0000(71)80013-2

URL : http://doi.org/10.1016/s0022-0000(71)80013-2

. S. ?? and . Cotton, Multi-criteria optimization for mapping programs to multiprocessors, Industrial Embedded Systems (SIES), ???? ?th IEEE International Symposium on. ????

R. [. Dagum and . Menon, OpenMP: an industry standard API for shared-memory programming, IEEE Computational Science and Engineering, vol.5, issue.1
DOI : 10.1109/99.660313

[. Davidovi, Mathematical programming-based approach to scheduling of communicating tasks

M. Davis, G. Logemann, and D. Loveland, « A machine program for theorem-proving, Communications of the ACM ?.? (????), p.pp. ???????

[. Deb, Multi-objective optimization using evolutionary algorithms

[. Dupont-de-dinechin, A Distributed Run-Time Environment for the Kalray MPPA®-??? Integrated Manycore Processor, Procedia Computer Science ??.? (????). ???? International Conference on Computational Science

[. Ehrgott, Multicriteria optimization
DOI : 10.1007/978-3-662-22199-0

. A. ??-]-c and . Van-eijk, Identification and Exploitation of Symmetries in DSP Algorithms, Proceedings of the Conference on Design

M. Engels, Cycle-static dataflow: model and implementation, Proceedings of 1994 28th Asilomar Conference on Signals, Systems and Computers
DOI : 10.1109/ACSSC.1994.471504

. J. ??, S. Figueira, M. Greco, ?. Ehrgott, P. ??? et al., Multiple criteria decision analysis: state of the art surveys

A. [. Fradet, P. Girault, and . Poplavko, SPDF: A schedulable parametric data-flow MoC, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE)
DOI : 10.1109/DATE.2012.6176572

URL : https://hal.archives-ouvertes.fr/hal-00744376

A. Franceschelli, A High-performance Data-flow Programming Environment for the CELL BE Processor, Proceedings of the ?th ACM International Conference on Computing Frontiers. CF '??, 2016604.

R. G. Garroppo, S. Giordano, and L. Tavanti, « A Survey on Multiconstrained Optimal Path Computation: Exact and Approximate Algorithms

Y. Ge, P. Malani, and Q. Qiu, Distributed task migration for thermal management in many-core systems, Proceedings of the 47th Design Automation Conference on, DAC '10, p.pp. ???????
DOI : 10.1145/1837274.1837417

M. Geilen and T. Basten, Programming Languages and Systems Lecture Notes in Computer Science, Requirements on the Execution of Kahn Process Networks

T. ??-]-marc-geilen, S. Basten, and . Stuijk, « Minimising Buffer Requirements of Synchronous Dataflow Graphs with Model Checking, Proceedings of the ??Nd Annual Design Automation Conference. DAC '??

[. Goossens and A. Hansson, The aethereal network on chip after ten years, Proceedings of the 47th Design Automation Conference on, DAC '10, p.pp. ???????
DOI : 10.1145/1837274.1837353

I. Michael and . Gordon, « Compiler Techniques for Scalable Performance of Stream Programs on Multicore Architectures MA: Massachusetts Institute of Technology, ????. url: http://groups.csail.mit

. R. ??, G. R. Govindarajan, P. Gao, and . Desai, « Minimizing Buffer Requirements Under Rate-Optimal Schedule in Regular Dataflow Networks, J. VLSI Signal Process. Syst. ??.?, p.1015452903532

K. Opencl and W. Group, The OpenCL Specification, Version, In: Document Revision ?? (

?. B-i-b-l-i-o-g-r-aphy and . Gschwind, Synergistic Processing in Cell's Multicore Architecture

A. Hansson, Design and implementation of an operating system for composable processor sharing, Microprocessors and Microsystems ??.? (????). Special issue on Network-on-Chip Architectures and Design Methodologies, p.???????
DOI : 10.1016/j.micpro.2010.08.008

[. Heijligers, « The application of genetic algorithms to highlevel synthesis, ????

L. John, . Hennessy, A. David, and . Patterson, Computer architecture: a quantitative approach

J. Howard, IA-?? message-passing processor with DVFS in ??nm CMOS ». In: Solid-State Circuits Conference Digest of Technical Papers (ISSCC), ???? IEEE International

J. Jahn, M. A. Faruque, and J. Henkel, CARAT: Context-aware runtime adaptive task migration for multi core architectures, 2011 Design, Automation & Test in Europe, p.pp. ???
DOI : 10.1109/DATE.2011.5763093

R. Jordans, An Automated Flow to Map Throughput Constrained Applications to a MPSoC Bringing Theory to Practice: Predictability and Performance in Embedded Systems, ??. OpenAccess Series in Informatics (OASIcs). Dagstuhl, Germany: Schloss Dagstuhl?Leibniz-Zentrum fuer Informatik, ????, p.3081, 2011.

G. Kahn, « The Semantics of a Simple Language for Parallel Programming » In: Information Processing '??: Proceedings of the IFIP Congress, p.pp. ???????

[. Kang, Multi-objective mapping optimization via problem decomposition for many-core systems, 2012 IEEE 10th Symposium on Embedded Systems for Real-time Multimedia, p.?????
DOI : 10.1109/ESTIMedia.2012.6507026

M. Richard, . Karp, E. Rayamond, and . Miller, « Properties of a model for parallel computations: Determinacy, termination, queueing », In: SIAM Journal on Applied Mathematics ??.? (????), p.pp. ?????????

. J. ??, C. Keinert, J. Haubelt, and . Teich, « Modeling and Analysis of Windowed Synchronous Algorithms, Acoustics, Speech and Signal Processing, ????. ICASSP ???? Proceedings. ???? IEEE International Conference on

. [. Kelm, Cohesion: An Adaptive Hybrid Memory Model for Accelerators, IEEE Micro, vol.31, issue.1, pp.10-1109, 2011.
DOI : 10.1109/MM.2011.8

B. Kienhuis, Embedded Processor Design Challenges Jürgen Teich, and Stamatis Vassiliadis Lecture Notes in Computer Science

M. Kistler, M. Perrone, and F. Petrini, Cell Multiprocessor Communication Network: Built for Speed, ????), pp. ?????. issn: ????-????. doi: 10.1109/MM, p.49, 2006.
DOI : 10.1109/MM.2006.49

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.161.8331

. [. Klevin, « Get RealFast RTOS with Xilinx FPGAs

A. Konak, D. W. Coit, and A. E. Smith, Multi-objective optimization using genetic algorithms: A tutorial, Reliability Engineering & System Safety, vol.91, issue.9
DOI : 10.1016/j.ress.2005.11.018

[. Kudlur and S. Mahlke, « Orchestrating the Execution of Stream Programs on Multicore Platforms

V. Manjunath and . Kudlur, Streamroller: A Unified Compilation and Synthesis System for Streaming Applications

J. Fadi, A. C. Kurdahi, and . Parker, « REAL: a program for REgister ALlocation, Proceedings of the ??th ACM/IEEE Design Automation Conference. ACM. ????, p.???????

C. Lee and M. Hamdi, Parallel image processing applications on a network of workstations, Parallel Comput. ??.?, pp.167-819100068
DOI : 10.1016/0167-8191(94)00068-L

. A. ??-]-e, D. G. Lee, and . Messerschmitt, « Synchronous data flow, Proceedings of the IEEE ??.? (????), pp. ?????????. issn: ????-????

[. Legriel, Approximating the Pareto Front of Multi-criteria Optimization Problems, ????. LNCS. Springer, ????, pp. ?????. isbn: ???-?-???-?????-?
DOI : 10.1007/978-3-642-12002-2_6

[. Lepley, P. Paulin, and E. Flamand, A novel compilation approach for image processing graphs on a many-core platform with explicitly managed memory, 2013 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES)
DOI : 10.1109/CASES.2013.6662510

?. B-i-b-l-i-o-g-r-aphy, M. Loghi, L. Poncino, and . Benini, « Cache Coherence Tradeoffs in Shared-memory MPSoCs », ????), pp. ???????. issn: ????-????

A. Marongiu and L. Benini, Efficient OpenMP support and extensions for MPSoCs with explicitly managed memory hierarchy, 2009 Design, Automation & Test in Europe Conference & Exhibition
DOI : 10.1109/DATE.2009.5090774

K. [. Marques-silva and . Sakallah, GRASP: a search algorithm for propositional satisfiability, Computers, IEEE Transactions on ??.? (????), pp. ???????. issn: ????-????. doi, pp.10-1109
DOI : 10.1109/12.769433

C. Miranda, A Deterministic, Concurrent Intermediate Representation to Map Data-flow Tasks to Scalable, Persistent Streaming Processes Architectures and Synthesis for Embedded Systems. CASES '??, Proceedings of the ???? International Conference on Compilers

W. Matthew and . Moskewicz, Engineering an Efficient SAT Solver, Proceedings of the ??th Annual Design Automation Conference. DAC '??

L. Mendonça-de-moura, N. «. Bjørner, and . Z?, Lecture Notes in Computer Science

. S. ??, J. Muir, and . Smith, « AsyMOS-an asymmetric multiprocessor operating system, Open Architectures and Network Programming, p.?? ???

[. Nollet, D. Verkest, and H. Corporaal, A Safari Through the MPSoC Run-Time Management Jungle, J. Signal Process. Syst. ??.? (Aug. ????), p.pp. ???????
DOI : 10.1007/s11265-008-0305-4

H. J. Nussbaumer, Fast Fourier transform and convolution algorithms
DOI : 10.1007/978-3-662-00551-4

S. Peter and . Pacheco, Parallel Programming with MPI

G. Pierre and . Paulin, Parallel Programming Models for a Multi-Processor SoC Platform Applied to High-Speed Traffic Management, Proceedings of the international conference on Hardware/Software Codesign and System Synthesis: ????. CODES+ISSS '??. IEEE Computer Society, ????, p.pp. ?????

L. Peng, Memory Performance and Scalability of Intel's and AMD's Dual-Core Processors: A Case Study, 2007 IEEE International Performance, Computing, and Communications Conference, p.358879, 2007.
DOI : 10.1109/PCCC.2007.358879

. [. Poplavko, Task-level timing models for guaranteed performance in multiprocessor networks-on-chip, Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems , CASES '03
DOI : 10.1145/951710.951721

S. Prakash, A. C. Parker, and . Sos, Synthesis of application-specific heterogeneous multiprocessor systems, Journal of Parallel and Distributed computing ??.? (????), p.pp. ???????

[. Ramanathan, « Intel Multi-core Processors: Making the move to Quad-core and Beyond, white paper

A. Ramani, Breaking instance-independent symmetries in exact graph coloring, Proceedings Design, Automation and Test in Europe Conference and Exhibition
DOI : 10.1109/DATE.2004.1268868

[. Redbooks, Programming the Cell Broadband Engine Architecture: Examples and Best Practices

S. Saidi, « Optimizing DMA Data Transfers for Embedded Multi-Cores
DOI : 10.1016/j.micpro.2013.04.006

[. Saidi, Optimal 2D Data Partitioning for DMA Transfers on MPSoCs, 2012 15th Euromicro Conference on Digital System Design
DOI : 10.1109/DSD.2012.99

[. Saidi, Optimizing two-dimensional DMA transfers for scratchpad Based MPSoCs platforms, Microprocessors and Microsystems ??.?, Part A (????)
DOI : 10.1016/j.micpro.2013.04.006

J. C. Sancho, Quantifying the Potential Benefit of Overlapping Communication and Computation in Large-Scale Scientific Applications, ACM/IEEE SC 2006 Conference (SC'06)
DOI : 10.1109/SC.2006.51

J. C. , S. , and D. J. Kerbyson, Analysis of double buffering on two different multicore architectures: Quad-core Opteron and the Cell-BE, Parallel and Distributed Processing Symposium, International ? (????), pp. ????. doi: http

?. B-i-b-l-i-o-g-r-aphy, P. Saraswat, J. Pop, and . Madsen, « Task migration for faulttolerance in mixed-criticality embedded systems

A. Sarkar, F. Mueller, and H. Ramaprasad, « Predictable task migration for locked caches in multi-core systems, Proceedings of the ???? SIG- PLAN/SIGBED conference on Languages, compilers and tools for embedded systems. LCTES '??. ACM, ????, p.pp. ???????

A. Schrijver, Theory of linear and integer programming

H. Tae-ho-shin, S. Oh, and . Ha, « Minimizing Buffer Requirements for Throughput Constrained Parallel Execution of Synchronous Dataflow Graph, Proceedings of the ??th Asia and South Pacific Design Automation Conference. ASPDAC '?? ???????. isbn: ???-?-????????-? . url, 1950860.

[. Shojaei, A parameterized compositional multi-dimensional multiplechoice knapsack heuristic for CMP run-time management, Proceedings of the ??th Annual Design Automation Conference. DAC '??. ACM, ????, p.pp. ???????

L. Snyder, The ZPL Programmer's Guide. Scientific and Engineering Computation

. ???-]-sundararajan, S. S. Sriram, and . Bhattacharyya, Embedded Multiprocessors: Scheduling and Synchronization. Second Edition

. [. Stuijk, Scenario-aware dataflow: Modeling, analysis and implementation of dynamic applications, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation
DOI : 10.1109/SAMOS.2011.6045491

[. Sutter, The free lunch is over: A fundamental turn toward concurrency in software, Dr. Dobb's Journal ??.? (????), p.pp. ???????

[. Tendulkar, P. Poplavko, and O. Maler, Strictly Periodic Scheduling of Acyclic Synchronous Dataflow Graphs using SMT Solvers

[. Tendulkar, P. Poplavko, and O. Maler, « Symmetry Breaking for Multicriteria Mapping and Scheduling on Multicores ». In: Formal Modeling and Analysis of Timed Systems, Víctor Braberman and Laurent Fribourg. Vol. ????. Lecture Notes in Computer Science

[. Tendulkar and S. Stuijk, A Case Study into Predictable and Composable MPSoC Reconfiguration, 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum
DOI : 10.1109/IPDPSW.2013.12

[. Tendulkar, Fine-grain OpenMP runtime support with explicit communication hardware primitives, 2011 Design, Automation & Test in Europe
DOI : 10.1109/DATE.2011.5763299

[. Tendulkar, Many-Core Scheduling of Data Parallel Applications Using SMT Solvers, 2014 17th Euromicro Conference on Digital System Design
DOI : 10.1109/DSD.2014.10

. [. Teruel, On weighted T-systems Application and Theory of Petri Nets ???? Lecture Notes in Computer Science

. D. ???-]-b and . Theelen, A scalable single-chip multi-processor architecture with onchip RTOS kernel, J. Syst. Archit. ??.??-??, p.???????

W. Thies, « Language and compiler support for stream programs

W. Thies and S. Amarasinghe, An empirical characterization of stream programs and its implications for language and compiler design, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, PACT '10
DOI : 10.1145/1854273.1854319

. H. ???-]-m, M. J. Wiggers, G. J. Bekooij, and . Smit, « Efficient Computation of Buffer Capacities for Cyclo-Static Dataflow Graphs, Design Automation Conference, ????. DAC '??. ??th ACM, p.???????

. W. ???, A. A. Wolf, G. Jerraya, and . Martin, « Multiprocessor System-on-Chip (MPSoC) Technology ». In: Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on ??.?? (????), 2008.

[. Yang, Exploring trade-offs between performance and resource requirements for synchronous dataflow graphs, 2009 IEEE/ACM/IFIP 7th Workshop on Embedded Systems for Real-Time Multimedia
DOI : 10.1109/ESTMED.2009.5336821

J. Zhu, I. Sander, and A. Jantsch, « Buffer minimization of real-time streaming applications scheduling on hybrid CPU/FPGA architectures

W. [. Zinner, . Kubinger, and . Ros-dma, ROS-DMA: A DMA Double Buffering Method for Embedded Image Processing with Resource Optimized Slicing, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06), p.38, 2006.
DOI : 10.1109/RTAS.2006.38