I. , G. Ochoa-ruiz, E. Bourennane, O. Labbani, G. Colloque et al., A Metadata-based Composition Framework for Dynamic Partially Reconfigurable Systems based on

F. Heterogeneous and .. , depicting general configurable resources, hard blocks and eventual soft IPs, p.26

I. Two, -models in the HELP approach: a) Component metamodel b) Design meta-model, p.89

L. Mark and . Chang, Chapter 1: Device architecture ReconÞgurable Computing: The Theory and Practice of FPGA-Based Computation, 2007.

C. Bobda, Introduction to Reconfigurable Computing: Architectures, Algorithms , and Applications, 2007.
DOI : 10.1007/978-1-4020-6100-4

K. Compton and S. Hauck, Reconfigurable computing: a survey of systems and software, ACM Computing Surveys, vol.34, issue.2, pp.171-210, 2002.
DOI : 10.1145/508352.508353

S. Hauck, The roles of FPGAs in reprogrammable systems, Proceedings of the IEEE, vol.86, issue.4, pp.615-638
DOI : 10.1109/5.663540

C. Maxfield, FPGA:s World Class Designs. Newnes, 2009.

J. Becker and M. Hubner, Chapter 22: Applications, design tools and low power issues in fpga reconfiguration, Designing Embedded Processors, pp.451-501, 2007.

J. Rose, R. J. Francis, D. Lewis, and P. Chow, Architecture of field-programmable gate arrays, Proceedings of the IEEE, vol.81, issue.7, pp.1217-1225
DOI : 10.1109/5.231340

R. Rose, S. D. Brown, R. J. Francis, and Z. G. Vranesic, Field-programmable Gate Arrays, 1992.

I. Kuon and J. Rose, Quantifying and exploring the gap between fpgas and asics, 2010.
DOI : 10.1007/978-1-4419-0739-4

A. Donlin, Chapter 22: Applications, design tools and low power issues in fpga reconfiguration, Designing Embedded Processors, pp.451-501, 2007.

. Xilinx, Command line tools user guide, ug628, 2012.

. Xilinx, Embedded system tools reference manual, ug111, 2012.

J. Becker, M. Hubner, G. Hettich, R. Constapel, J. Eisenmann et al., Dynamic and Partial FPGA Exploitation, Proceedings of the IEEE, pp.438-452
DOI : 10.1109/JPROC.2006.888404

C. Claus, R. Ahmed, F. Altenried, and W. Stechele, Towards Rapid Dynamic Partial Reconfiguration in Video-Based Driver Assistance Systems
DOI : 10.1007/978-3-642-12133-3_8

J. Strunk, T. Volkmer, K. Stephan, W. Rehm, and H. Schick, Impact of runtime reconfiguration on design and speed -a case study based on a grid of run-time reconfigurable modules inside a fpga, Parallel Distributed Processing, 2009.

K. Compton, Chapter 4: Reconfiguration Management, 2008.

P. Lysaght, O. Blodget, and J. Mason, Invited Paper: Enhanced Architectures, Design Methodologies and CAD Tools for Dynamic Reconfiguration of Xilinx FPGAs, 2006 International Conference on Field Programmable Logic and Applications, 2006.
DOI : 10.1109/FPL.2006.311188

G. Ochoa-ruiz, Master Dissertation : Dynamic Partial Reconfiguration and Video Distribution in a Reconfigurable Device, 2009.

N. Marques, PhD Dissertation :Methodologie et architecture adaptative pour le placement efficace de taches maternelles de tailles variables sur de partitions reconfigurables, 2012.

P. Sedcole, B. Blodget, T. Becker, J. Anderson, and P. Lysaght, Modular dynamic reconfiguration in virtex fpgas. Computers and Digital Techniques, IEE Proceedings, vol.153, issue.3, pp.157-164

. Xilinx, Partial reconfiguration overview, wp374, 2012.

. Xilinx, Partial reconfiguration user guide, ug207, 2012.

. Xilinx, Planahead user guide, ug632, 2012.

. Xilinx, Ise in-depth tutorial, ug695 FPGA Platforms for Embedded Systems, 2010.

O. Blodget, P. James-roxby, E. Keller, S. Mcmillan, and P. Sundararajan, A Self-reconfiguring Platform, Proceedings of Field Programmable Logic and Applications, pp.565-574, 2003.
DOI : 10.1007/978-3-540-45234-8_55

B. Blodget, S. Mcmillan, and P. Lysaght, A lightweight approach for embedded reconfiguration of FPGAs, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.399-400, 2003.
DOI : 10.1109/DATE.2003.1253642

H. Kwok-hay, R. W. So, and . Brodersen, Improving usability of fpga-based reconfigurable computers through operating system support, Field Programmable Logic and Applications, 2006. FPL '06. International Conference on, pp.1-6

M. D. Santambrogio, V. Rana, and D. Sciuto, Operating system support for online partial dynamic reconfiguration management, 2008 International Conference on Field Programmable Logic and Applications, pp.455-458, 2008.
DOI : 10.1109/FPL.2008.4629982

. Xilinx, Edk concepts, tools, and techniques, ug683

P. Bomel, J. Crenne, L. Ye, J. Diguet, and G. Gogniat, Ultra-Fast Downloading of Partial Bitstreams through Ethernet, Proceedings of the 22nd International Conference on Architecture of Computing Systems, ARCS '09, pp.72-83, 2009.
DOI : 10.1007/s11265-006-0017-6

URL : https://hal.archives-ouvertes.fr/hal-00488510

. Xilinx, Logicore ip xps hwicap(v5.01a), 2011.

R. Imran and . Quadri, Phd Dissertation : MARTE based model driven design methodology for targeting dynamically reconfigurable FPGA based SoCs, 2011.

S. Cherif, S. Imran-rafiq-quadri, J. Meftali, and . Dekeyser, Modeling Reconfigurable Systems-on-Chips with UML MARTE Profile: An Exploratory Analysis, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, pp.706-713, 2010.
DOI : 10.1109/DSD.2010.58

URL : https://hal.archives-ouvertes.fr/inria-00525004

S. Guillet, N. L. Florent-de-lamotte, É. Griguer, J. Rutten, G. Diguet et al., Modeling and synthesis of a Dynamic and Partial Reconfiguration controller, 22nd International Conference on Field Programmable Logic and Applications (FPL), pp.703-706, 2012.
DOI : 10.1109/FPL.2012.6339157

URL : https://hal.archives-ouvertes.fr/hal-00747718

X. An, S. Boumedien, A. Gamatié, and É. Rutten, CLASSY, Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems, SCOPES '12, pp.3-12, 2012.
DOI : 10.1145/2236576.2236577

URL : https://hal.archives-ouvertes.fr/hal-00758194

P. Wattebled, J. Diguet, and J. Dekeyser, Membranebased design and management methodology for parallel dynamically reconfigurable embedded systems, In ReCoSoC, pp.1-8, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00745150

G. Ochoa, E. Bourennane, O. Labbani, and K. Messaoudi, Ipxact and marte based approach for partially reconfigurable systems-on-chip, In FDL, pp.1-8, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00674293

G. Ochoa-ruiz, O. Labbani, E. Bourennane, P. Soulard, and S. Cherif, A high-level methodology for automatically generating dynamic partially reconfigurable systems using ip-xact and the uml marte profile. Design Automation for Embedded Systems, pp.1-36, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00745377

. Xilinx, Platform specification format reference manual embedded development kit (edk) 14.1, ug642

A. Sangiovanni-vincentelli, Quo Vadis, SLD? Reasoning About the Trends and Challenges of System Level Design, Proceedings of the IEEE, vol.95, issue.3, pp.467-506, 2007.
DOI : 10.1109/JPROC.2006.890107

M. F. Jacome and H. P. Peixoto, A survey of digital design reuse, IEEE Design & Test of Computers, vol.18, issue.3, pp.98-107, 2001.
DOI : 10.1109/54.922806

R. Saleh, S. Wilton, S. Mirabbasi, A. Hu, M. Greenstreet et al., System-on-Chip: Reuse and Integration, Proceedings of the IEEE, vol.94, issue.6, pp.1050-1069, 2006.
DOI : 10.1109/JPROC.2006.873611

R. Chen, M. Sgroi, L. Lavagno, G. Martin, A. Sangiovanni-vincentelli et al., UML and Platform-based Design, 2003.
DOI : 10.1007/0-306-48738-1_5

G. Luis, M. Murilo, M. Mura, . Prevostini, . Mde et al., A UML-Based Design Flow, pp.19-37, 2010.

Y. Vanderperren, W. Mueller, and W. Dehaene, Uml for electronic systems design: a comprehensive overview. Design Automation for Embedded Systems, pp.261-292, 2008.

G. Martin, UML for embedded systems specification and design: motivation and overview, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.773-775, 2002.
DOI : 10.1109/DATE.2002.998386

E. Riccobene, A. Rosti, and P. Scandurra, Improving soc design flow by means of mda and uml profiles, 2005.

G. Martin, L. Lavagno, and J. Louis-guerin, Embedded UML, Proceedings of the ninth international symposium on Hardware/software codesign , CODES '01, pp.23-28, 2001.
DOI : 10.1145/371636.371660

B. Bailey and G. Martin, IP Meta-Models for SoC Assembly and HW/SW Interfaces, ESL Models and their Application, Embedded Systems, pp.33-82
DOI : 10.1007/978-1-4419-0965-7_2

J. Bãl-'zivin, On the unification power of models. Software and Systems Modeling, pp.171-188, 2005.

. Eclipse, Eclipse modeling framework

S. Sendall and W. Kozaczynski, Model transformation: the heart and soul of modeldriven software development. Software, IEEE, vol.20, issue.5, pp.42-45, 2003.

K. Czarnecki and S. Helsen, Classification of model transformation approaches, Workshop on Generative Techniques in the Context of Model-Driven Architecture, 2003.

K. Czarnecki and S. Helsen, Feature-based survey of model transformation approaches, IBM Systems Journal, vol.45, issue.3, pp.621-645, 2006.
DOI : 10.1147/sj.453.0621

J. Miller and J. Mukerji, Model driven architecture (MDA) Draft, Architecture Board ORMSC, 2001.

F. Boutekkouk, M. Benmohammed, S. Bilavarn, and M. Auguin, UML2.0 Profiles for Embedded Systems and Systems On a Chip (SOCs)., The Journal of Object Technology, vol.8, issue.1, pp.135-157, 2009.
DOI : 10.5381/jot.2009.8.1.a1

URL : https://hal.archives-ouvertes.fr/hal-00366581

M. Mernik, J. Heering, and A. M. Sloane, When and how to develop domain-specific languages, ACM Computing Surveys, vol.37, issue.4, pp.316-344, 2005.
DOI : 10.1145/1118890.1118892

F. Boutekkouk, M. Benmohammed, S. Bilavarn, and M. Auguin, UML2.0 Profiles for Embedded Systems and Systems On a Chip (SOCs)., The Journal of Object Technology, vol.8, issue.1, pp.135-157, 2009.
DOI : 10.5381/jot.2009.8.1.a1

URL : https://hal.archives-ouvertes.fr/hal-00366581

T. Beierlein, D. Fr?hlich, and B. Steinbach, Model-driven compilation of umlmodels for reconfigurable architectures, Proceedings of the Second RTAS Workshop on Model-Driven Embedded Systems, 2004.

S. Taha, A. Radermacher, S. Gérard, and J. Dekeyser, Marte: Uml-based hardware design from modeling to simulation, Forum on Design and Specification of Design Languages, pp.274-279, 2007.

S. Taha, A. Radermacher, S. Gerard, and J. Dekeyser, An Open Framework for Detailed Hardware Modeling, 2007 International Symposium on Industrial Embedded Systems, pp.118-125, 2007.
DOI : 10.1109/SIES.2007.4297325

S. Taha, Phd Dissertation : Modélisation Cojointe Logiciele/Matériel de Systèmes temps Réel, 2008.

A. Gamatié, S. L. Beux, É. Piel, R. Ben-atitallah, A. Etien et al., A Model-Driven Design Framework for Massively Parallel Embedded Systems, ACM Transactions on Embedded Computing Systems, vol.10, issue.4, pp.1-3936, 2011.
DOI : 10.1145/2043662.2043663

D. D. Gajski, A. C. Wu, V. Chaiyakul, S. Mori, T. Nukiyama et al., Essential issues for IP reuse, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106), pp.37-42, 2000.
DOI : 10.1109/ASPDAC.2000.835067

J. Teich, Hardware/Software Codesign: The Past, the Present, and Predicting the Future, Proceedings of the IEEE, pp.1411-1430
DOI : 10.1109/JPROC.2011.2182009

M. F. Oliveira, L. B. De-brisolara, L. Carro, and F. R. Wagner, Early embedded software design space exploration using uml-based estimation, Rapid System Prototyping, pp.24-32, 2006.

J. Altmeyer, S. Ohnsorge, and B. Sch-§rmann, Reuse Of Design Objects In Cad Frameworks, IEEE/ACM International Conference on Computer-Aided Design, 1994.
DOI : 10.1109/ICCAD.1994.629908

R. A. Bergamaschi and W. R. Lee, Designing systems-on-chip using cores, Proceedings of the 37th conference on Design automation , DAC '00, pp.420-425, 2000.
DOI : 10.1145/337292.337526

W. Cesario, A. Baghdadi, L. Gauthier, D. Lyonnard, G. Nicolescu et al., Component-based design approach for multicore SoCs, Proceedings of the 39th conference on Design automation , DAC '02, pp.789-794, 2002.
DOI : 10.1145/513918.514115

URL : https://hal.archives-ouvertes.fr/hal-00008062

W. Wolf, Chapter 11: Intellectual Property-Based Design, 2008.

S. Parischa and N. Dutt, Chapter 3: On-Chip Communication Architecture Standards [81] IBM. Coreconnect bus architecture, 2008.

W. O. Cesario, D. Lyonnard, G. Nicolescu, Y. Paviot, S. Yoo et al., Multiprocessor SoC platforms: a component-based design approach, IEEE Design & Test of Computers, vol.19, issue.6, pp.52-63
DOI : 10.1109/MDT.2002.1047744

URL : https://hal.archives-ouvertes.fr/hal-00008061

A. Sangiovanni-vincentelli, G. Yang, S. K. Shukla, D. A. Mathaikutty, and J. Sztipanovits, Metamodeling: An Emerging Representation Paradigm for System-Level Design, IEEE Design & Test of Computers, vol.26, issue.3, pp.54-69, 2009.
DOI : 10.1109/MDT.2009.62

V. Berman, Standards: The p1685 ip-xact ip metadata standard. Design Test of Computers, IEEE, vol.23, issue.4, pp.316-317, 2006.

I. Ieee, Òieee standard for ip-xact, standard structure for packaging, integrating, and reusing ip within tools flowsÓ, 1685.

A. James, A. Rowson, and . Sangiovanni-vincentelli, Interface-based design, Proceedings of the 34th annual Design Automation Conference, DAC '97, pp.178-183, 1997.

M. Keating and P. Bricaud, Reuse methodology manual: for system-on-achip designs, 2003.
DOI : 10.1007/978-1-4615-5037-2

W. Wolf, Chapter 8: Architecture Design, 2009.

M. Koegst, P. Conradi, D. Garte, and M. Wahl, A systematic analysis of reuse strategies for design of electronic circuits, Proceedings Design, Automation and Test in Europe, pp.292-296, 1998.
DOI : 10.1109/DATE.1998.655871

P. Conradi, Reuse in Electronic Design: From Information Modelling to Intellectual Properties, 1999.

D. Saha and S. Sur-kolay, SoC: A Real Platform for IP Reuse, IP Infringement, and IP Protection, VLSI Design, vol.2, issue.1, pp.5-6, 2011.
DOI : 10.1093/ietfec/e90-a.9.1932

D. Densmore and R. Passerone, A Platform-Based Taxonomy for ESL Design, IEEE Design & Test of Computers, vol.23, issue.5, pp.359-374, 2006.
DOI : 10.1109/MDT.2006.112

N. Medvidovic and R. N. Taylor, A classification and comparison framework for software architecture description languages. Software Engineering, IEEE Transactions on, vol.26, issue.1, pp.70-93, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00444077

W. O. Cesario, G. Nicolescu, L. Gauthier, D. Lyonnard, and A. A. Jerraya, Colif: A design representation for application-specific multiprocessor SOCs, IEEE Design & Test of Computers, vol.18, issue.5, pp.8-20, 2001.
DOI : 10.1109/54.953268

URL : https://hal.archives-ouvertes.fr/hal-00008076

M. Wirthlin, D. Poznanovic, P. Sundararajan, A. Coppola, D. Pellerin et al., OpenFPGA CoreLib core library interoperability effort, Parallel Computing, vol.34, issue.4-5, pp.4-5231, 2008.
DOI : 10.1016/j.parco.2008.03.004

S. Decker, S. Melnik, F. Van-harmelen, D. Fensel, M. Klein et al., The Semantic Web: the roles of XML and RDF, IEEE Internet Computing, vol.4, issue.5, pp.63-73, 2000.
DOI : 10.1109/4236.877487

A. Arnesen, K. Ellsworth, D. Gibelyou, T. Haroldsen, J. Havican et al., Increasing Design Productivity through Core Reuse, Meta-data Encapsulation, and Synthesis, 2010 International Conference on Field Programmable Logic and Applications, pp.538-543, 2010.
DOI : 10.1109/FPL.2010.106

A. Arnesen, N. Rollins, and M. Wirthlin, A multi-layered XML schema and design tool for reusing and integrating FPGA IP, 2009 International Conference on Field Programmable Logic and Applications, pp.472-475, 2009.
DOI : 10.1109/FPL.2009.5272468

J. Lapalme, E. M. Aboulhamid, and G. Nicolescu, Chapter 3: The Semantic Web Applied to IP-Based Design: A Discussion on IP-XACT in System Level Desgin with NET Technology, 2010.

A. Deepak, S. K. Mathaikutty, and . Shukla, Metamodeling-Driven IP Reuse for SoC Integration and Microprocessor Design, 2009.

A. Ledeczi, A. Bakay, M. Maroti, P. Volgyesi, G. Nordstrom et al., Composing domain-specific design environments, Computer, vol.34, issue.11, pp.3444-51
DOI : 10.1109/2.963443

M. Emerson, S. Neema, S. Sztipanovits, and S. K. Shukla, Metamodeling Languages and Metaprogrammable Tools Handbook of Real-Time and Embedded Systems Mcf: A metamodeling-based component composition framework: Composing systemc ips for executable system models. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, issue.7, pp.16792-805, 2008.

J. M. Rahman, S. P. Seaton, and S. M. Cuddy, Making frameworks more useable: using model introspection and metadata to develop model processing tools, Environmental Modelling & Software, vol.19, issue.3, pp.275-284, 2004.
DOI : 10.1016/S1364-8152(03)00153-1

F. Doucet, S. Shukla, and R. Gupta, Introspection in system-level language frameworks: meta-level vs. integrated, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.382-387, 2003.
DOI : 10.1109/DATE.2003.1253638

A. D. Pimentel, T. Stefanov, H. Nikolov, M. Thompson, S. Polstra et al., Tool integration and interoperability challenges of a systemlevel design flow: A case study, Proceedings of the 8th international workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS '08, pp.167-176, 2008.

W. Kruijtzer, P. Van-der-wolf, E. De-kock-stuyt, W. Ecker, A. Mayer et al., Industrial IP integration flows based on IP-XACT standards, 2008 Design, Automation and Test in Europe, pp.32-37, 2008.
DOI : 10.1109/DATE.2008.4484656

C. K. Lennard, V. Berman, S. Fazzari, M. Indovina, C. Ussery et al., Industrially proving the spirit consortium specifications for design chain integration, Design, Automation and Test in Europe DATE '06. Proceedings, pp.1-6, 2006.

X. Corp, Vivado design suite, 2013.

R. Nane, S. Van-haastregt, T. Stefanov, B. Kienhuis, V. M. Sima et al., Ipxact extensions for reconfigurable computing, Application-Specific Systems, Architectures and Processors (ASAP) IEEE International Conference on, pp.215-218, 2011.

A. Radermacher and J. Dekeyser, Unifying hw analysis and soc design flows by bridging two key standards: Uml and ip-xact, Distributed Embedded Systems: Design, Middleware and Resources IFIP âThe International Federation for Information Processing, pp.69-78, 2008.

C. André, F. Mallet, R. Aamir-mehmood-khan, and . Simone, Modeling spirit ip-xact with uml marte, 2008.

A. Vorg and W. Rosenstiel, Automation of IP qualification and IP exchange, Integration, the VLSI Journal, vol.37, issue.4, pp.323-352, 2004.
DOI : 10.1016/j.vlsi.2004.01.005

. Magillem-design and . Services, Magillem eda ip-xact tooling, 2013.

M. Graphics, Hdl designer ip-xact, 2013.

A. Koudri, D. Vojtsiek, P. Soulard, C. Moy, J. Champeau et al., Using marte in the mopcom soc/sopc methodology, workshop MARTE, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00517468

J. Vidal, F. De-lamotte, G. Gogniat, P. Soulard, and J. Diguet, A co-design approach for embedded system modeling and code generation with UML and MARTE, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.226-231, 2009.
DOI : 10.1109/DATE.2009.5090662

URL : https://hal.archives-ouvertes.fr/hal-00369036

J. Vidal, F. De-lamotte, G. Gogniat, J. Diguet, and P. Soulard, IP reuse in an MDA MPSoPC co-design approach, 2009 International Conference on Microelectronics, ICM, pp.256-259, 2009.
DOI : 10.1109/ICM.2009.5418638

URL : https://hal.archives-ouvertes.fr/hal-00454761

J. Vidal, G. Perrouin, and P. Leray, Soc/sopc development using mdd and marte profile, 2009.
URL : https://hal.archives-ouvertes.fr/inria-00468650

I. R. Quadri, S. Muller, S. Meftali, and J. Dekeyser, Marte based design flow for partially reconÞgurable systems-on-chips, 17th IFIP/IEEE International Conference on Very Large Scale Integration oct, 2009.

A. B. Atitallah, P. Kadionik, F. Ghozzi, P. Nouel, N. Masmoudi et al., HW/SW Codesign of the H. 263 Video Coder, 2006 Canadian Conference on Electrical and Computer Engineering, 2006.
DOI : 10.1109/CCECE.2006.277632

URL : https://hal.archives-ouvertes.fr/hal-01306422

R. B. Atitallah, S. Niar, S. Meftali, and J. Dekeyser, An MPSoC Performance Estimation Framework Using Transaction Level Modeling, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), pp.525-533, 2007.
DOI : 10.1109/RTCSA.2007.21

S. Le-beux, P. Marquet, and J. Dekeyser, A Design Flow to Map Parallel Applications onto FPGAs, 2007 International Conference on Field Programmable Logic and Applications, pp.605-608, 2007.
DOI : 10.1109/FPL.2007.4380727

I. R. Quadri, S. Meftali, and J. Dekeyser, Designing dynamically reconfigurable SoCs: From UML MARTE models to automatic code generation, 2010 Conference on Design and Architectures for Signal and Image Processing (DASIP), pp.68-75, 2010.
DOI : 10.1109/DASIP.2010.5706248

URL : https://hal.archives-ouvertes.fr/inria-00525003

I. R. Quadri, Marte based model driven design methodology for targeting dynamically reconÞgurable fpga based socs, PhD Dissertation, 2011.

A. Imran-rafiq-quadri, P. Gamatié, S. Boulet, J. Meftali, and . Dekeyser, Expressing embedded systems configurations at high abstraction levels with uml marte profile: Advantages, limitations and alternatives, Journal of Systems Architecture -Embedded Systems Design, vol.58, issue.5, pp.178-194, 2012.

I. Gray, N. Matragkas, N. C. Audsley, L. S. Indrusiak, D. Kolovos et al., Model-Based Hardware Generation and Programming - The MADES Approach, 2011 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, pp.88-96, 2011.
DOI : 10.1109/ISORCW.2011.20

I. R. Quadri, E. Brosse, I. Gray, N. Matragkas, L. S. Indrusiak et al., MADES FP7 EU project: Effective high level SysML/MARTE methodology for real-time and embedded avionics systems, 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), pp.1-8, 2012.
DOI : 10.1109/ReCoSoC.2012.6322882

T. Schattkowsky and T. Xie, Uml and ip-xact for integrated sprint ip management, Proc. 5th Int. UML-SoC DAC Workshop, 2008.

T. Schattkowsky, T. Xie, and W. Mueller, A UML frontend for IP-XACT-based IP management, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.238-243, 2009.
DOI : 10.1109/DATE.2009.5090664

A. Charles, A. Mehmood-khan, and R. Simone, Modeling spirit ipxact with uml marte, DATE Workshop on Modeling and Analysis of Real-Time and Embedded Systems with the MARTE UML profile, 2008.

J. , L. Tallec, and R. Simone, SCIPX: a SystemC to IP-XACT extraction tool, ESLsyn : Electronic System Level Synthesis Conference, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00601843

J. Tallec, J. Deantoni, R. D. Simone, B. Ferrero, F. Mallet et al., Combining SystemC, IP-XACT and UML/MARTE in model-based SoC design, Workshop on Model Based Engineering for Embedded Systems Design (M-BED 2011) This paper has been partially supported by the French ANR project HELP (ANR-09-SEGI-006), 2011.
URL : https://hal.archives-ouvertes.fr/inria-00601840

P. Kukkala, J. Riihimaki, M. Hannikainen, T. D. Hamalainen, and K. Kronlof, UML 2.0 Profile for Embedded System Design, Design, Automation and Test in Europe, pp.710-715, 2005.
DOI : 10.1109/DATE.2005.321

URL : https://hal.archives-ouvertes.fr/hal-00181193

T. Kangas, P. Kukkala, H. Orsila, E. Salminen, M. Hännikäinen et al., UML-based multiprocessor SoC design framework, ACM Transactions on Embedded Computing Systems, vol.5, issue.2, pp.281-320, 2006.
DOI : 10.1145/1151074.1151077

T. Arpinen, T. Koskinen, E. Salminen, T. D. Hamalainen, and M. Hannikainen, Evaluating UML2 modeling of IP-XACT objects for automatic MP-SoC integration onto FPGA, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.244-249, 2009.
DOI : 10.1109/DATE.2009.5090665

F. Herrera and E. Villar, A framework for the generation from uml/marte models of ipxact hw platform descriptions for multi-level performance estimation, Specification and Design Languages (FDL), pp.1-8, 2011.

F. Herrera, H. Posadas, E. Villar, and D. Calvo, Enhanced IP-XACT Platform Descriptions for Automatic Generation from UML/MARTE of Fast Performance Models for DSE, 2012 15th Euromicro Conference on Digital System Design
DOI : 10.1109/DSD.2012.51

C. Huang and P. Hsiung, Dynamically Swappable Hardware Design in Partially Reconfigurable Systems, 2007 IEEE International Symposium on Circuits and Systems, 2008.
DOI : 10.1109/ISCAS.2007.378620

C. Huang and P. Hsiung, Software-Controlled Dynamically Swappable Hardware Design in Partially Reconfigurable Systems, EURASIP Journal on Embedded Systems, vol.2008, issue.1, p.231940, 2008.
DOI : 10.1109/TC.2004.99

S. Cherif, PhD Dissertation: Approche basée sur les modèles pour la conception des systèemes dynamiquement reconfigurables : de MARTE vers RecoMARTE, 2013.

S. Guillet, PhD Dissertation: Modélisation et controle formel de la reconfiguration: Application aux systèmes embarqués dynamiquement reconfigurables

D. Koch, J. Torresen, C. Beckhoff, D. Ziener, C. Dennl et al., Partial reconfiguration on fpgas in practice -tools and applications, ARCS Workshops, pp.297-319, 2012.

H. Kalte, G. Lee, M. Porrmann, and U. Ruckert, REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable Systems, 19th IEEE International Parallel and Distributed Processing Symposium, pp.151-151, 2005.
DOI : 10.1109/IPDPS.2005.380

J. Hagemeyer, B. Kettelhoit, M. Koester, and M. Porrmann, Design of homogeneous communication infrastructures for partially reconfigurable fpgas, ERSA, pp.238-247, 2007.

A. Asgar-sohanghpurwala, P. Athanas, T. Frangieh, and A. Wood, OpenPR: An Open-Source Partial-Reconfiguration Toolkit for Xilinx FPGAs, 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum, pp.228-235, 2011.
DOI : 10.1109/IPDPS.2011.146

C. Beckhoff, D. Koch, and J. Torresen, Go Ahead: A Partial Reconfiguration Framework, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines, pp.37-44, 2012.
DOI : 10.1109/FCCM.2012.17

C. Lavin, M. Padilla, J. Lamprecht, P. Lundrigan, B. E. Nelson et al., RapidSmith: Do-It-Yourself CAD Tools for Xilinx FPGAs, 2011 21st International Conference on Field Programmable Logic and Applications, pp.349-355, 2011.
DOI : 10.1109/FPL.2011.69

D. Koch, C. Beckhoff, and J. Teich, ReCoBus-Builder — A novel tool and technique to build statically and dynamically reconfigurable systems for FPGAS, 2008 International Conference on Field Programmable Logic and Applications, pp.119-124, 2008.
DOI : 10.1109/FPL.2008.4629918

C. Beckhoff, D. Koch, and J. Torresen, Automatic Floorplanning and Interface Synthesis of Island Style Reconfigurable Systems with GoAhead, ARCS, pp.303-316, 2013.
DOI : 10.1007/978-3-642-36424-2_26

M. Touiza, G. Ochoa-ruiz, E. Bourennane, A. Guessoum, and K. Messaoudi, A novel methodology for accelerating bitstream relocation in partially reconfigurable systems, Microprocessors and Microsystems, vol.37, issue.3, p.2012
DOI : 10.1016/j.micpro.2012.07.004

URL : https://hal.archives-ouvertes.fr/hal-00730221

C. Lavin, M. Padilla, J. Lamprecht, P. Lundrigan, B. E. Nelson et al., HMFlow: Accelerating FPGA Compilation with Hard Macros for Rapid Prototyping, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, pp.117-124, 2011.
DOI : 10.1109/FCCM.2011.17