Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint - Archive ouverte HAL Accéder directement au contenu
Article Dans Une Revue Journal of Computer Science and Technology Année : 2018

Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint

Ying-Lin Zhao
  • Fonction : Auteur
Jianlei Yang
  • Fonction : Auteur
Weisheng Zhao
  • Fonction : Auteur
Aida Todri-Sanial
Yuanqing Cheng

Résumé

Thanks to the emerging 3D integration technology, The multiprocessor system on chips (MPSoCs) can now integrate more IP cores on chip with improved energy efficiency. However, several severe challenges also rise up for 3D ICs due to the die-stacking architecture. Among them, power supply noise becomes a big concern. In the paper, we investigate power supply noise (PSN) interactions among different cores and tiers and show that PSN variations largely depend on task assignments. On the other hand, high integration density incurs a severe thermal issue on 3D ICs. In the paper, we propose a novel task scheduling framework considering both the PSN and the thermal issue. It mainly consists of three parts. First, we extract current stimuli of running tasks by analyzing their power traces derived from architecture level simulations. Second, we develop an efficient power delivery network (PDN) solver to evaluate PSN magnitudes efficiently. Third, we propose a heuristic algorithm to solve the formulated task scheduling problem. Compared with the state-of-the-art task assignment algorithm, the proposed method can reduce PSN by 12% on a 2 × 2 × 2 3D MPSoCs and by 14% on a 3 × 3 × 3 3D MPSoCs. The end-to-end task execution time also improves as much as 5.5% and 7.8% respectively due to the suppressed PSN.
Fichier principal
Vignette du fichier
manuscript_new.pdf (1.85 Mo) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

lirmm-01879928 , version 1 (22-12-2019)

Identifiants

Citer

Ying-Lin Zhao, Jianlei Yang, Weisheng Zhao, Aida Todri-Sanial, Yuanqing Cheng. Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint. Journal of Computer Science and Technology, 2018, 33 (5), pp.966-983. ⟨10.1007/s11390-018-1868-6⟩. ⟨lirmm-01879928⟩
113 Consultations
163 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More