Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles - Archive ouverte HAL Accéder directement au contenu
Chapitre D'ouvrage Année : 2007

Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles

Résumé

Scan technology increases the switching activity well beyond that of the functional operation of an IC. In this paper, we first discuss the issues of excessive peak power during scan testing and highlight the importance of reducing peak power particularly during the test cycle (i.e. between launch and capture) so as to avoid noise phenomena such as IR-drop or Ground Bounce. Next, we propose a scan cell reordering solution to minimize peak power during all test cycles of a scan testing process. The problem of scan cell reordering is formulated as a constrained global optimization problem and is solved by using a simulated annealing algorithm. Experimental evidence and practical implications of the proposed solution are given at the end of the paper. For ISCAS'89 and ITC'99 benchmark circuits, this approach reduces peak power during TC up to 51% compared to an ordering provided by an industrial synthesis tool. Fault coverage and test time are left unchanged by the proposed solution.

Domaines

Electronique
Fichier principal
Vignette du fichier
VLSIsocbook07_fin.pdf (98.71 Ko) Télécharger le fichier
Loading...

Dates et versions

lirmm-00194261 , version 1 (06-12-2007)

Identifiants

  • HAL Id : lirmm-00194261 , version 1

Citer

Nabil Badereddine, Patrick Girard, Serge Pravossoudovitch, Arnaud Virazel, Christian Landrault. Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles. VLSI-Soc: From Systems to Silicon, pp.267-281, 2007, 978-0-387-73661-7. ⟨lirmm-00194261⟩
110 Consultations
540 Téléchargements

Partager

Gmail Facebook X LinkedIn More