H. Aydin and Q. Yang, Energy-aware partitioning for multiprocessor real-time systems, Proceedings International Parallel and Distributed Processing Symposium, pp.113-121, 2003.
DOI : 10.1109/IPDPS.2003.1213225

N. Bansal, T. Kimbrel, and K. Pruhs, Speed scaling to manage energy and temperature, Journal of the ACM, vol.54, issue.1, pp.1-39, 2007.
DOI : 10.1145/1206035.1206038

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.550.7426

E. Beigne, F. Clermidy, J. Durupt, H. Lhermet, S. Miermont et al., An asynchronous power aware and adaptive NoC based circuit, Proceedings of the 2008 IEEE Symposium on VLSI Circuits, pp.190-191, 2008.

E. Beigne, F. Clermidy, S. Miermont, Y. Thonnart, A. Valentian et al., A Localized Power Control mixing hopping and Super Cut-Off techniques within a GALS NoC, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial, pp.37-42, 2008.
DOI : 10.1109/ICICDT.2008.4567241

S. Boyd and L. Vandenberghe, Convex Optimization, 2004.

A. P. Chandrakasan and A. Sinha, JouleTrack: A Web Based Tool for Software Energy Profiling, Design Automation Conference, pp.220-225, 2001.

G. Chen, K. Malkowski, M. Kandemir, and P. Raghavan, Reducing Power with Performance Constraints for Parallel Sparse Applications, 19th IEEE International Parallel and Distributed Processing Symposium, 2005.
DOI : 10.1109/IPDPS.2005.378

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.400.8778

J. Chen and C. Kuo, Energy-Efficient Scheduling for Real-Time Systems on Dynamic Voltage Scaling (DVS) Platforms, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), pp.28-38, 2007.
DOI : 10.1109/RTCSA.2007.37

J. Chen and T. Kuo, Multiprocessor energy-efficient scheduling for real-time tasks, Proceedings of International Conference on Parallel Processing (ICPP), pp.13-20, 2005.

M. R. Garey and D. S. Johnson, Computers and Intractability; A Guide to the Theory of NP-Completeness, 1990.

R. Ge, X. Feng, and K. W. Cameron, Performance-constrained Distributed DVS Scheduling for Scientific Applications on Power-aware Clusters, ACM/IEEE SC 2005 Conference (SC'05), p.34, 2005.
DOI : 10.1109/SC.2005.57

R. Gonzalez and M. Horowitz, Energy dissipation in general purpose microprocessors, IEEE Journal of Solid-State Circuits, vol.31, issue.9, pp.1277-1284, 1996.
DOI : 10.1109/4.535411

P. Grosse, Y. Durand, and P. Feautrier, Methods for power optimization in SOC-based data flow systems, ACM Transactions on Design Automation of Electronic Systems, vol.14, issue.3, pp.1-3820, 2009.
DOI : 10.1145/1529255.1529260

Y. Hotta, M. Sato, H. Kimura, S. Matsuoka, T. Boku et al., Profile-based optimization of power performance by using dynamic voltage scaling on a PC cluster, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, p.340, 2006.
DOI : 10.1109/IPDPS.2006.1639597

T. Ishihara and H. Yasuura, Voltage scheduling problem for dynamically variable voltage processors, Proceedings of the 1998 international symposium on Low power electronics and design , ISLPED '98, pp.197-202, 1998.
DOI : 10.1145/280756.280894

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.2.9415

R. Jejurikar, C. Pereira, and R. Gupta, Leakage aware dynamic voltage scaling for realtime embedded systems, Proceedings of DAC'04, the 41st annual Design Automation Conferencea, pp.275-280, 2004.

H. Kawaguchi, G. Zhang, S. Lee, and T. Sakurai, An LSI for VDD-Hopping and MPEG4 System Based on the Chip, Proceedings of ISCAS'2001, the International Symposium on Circuits and Systems, 2001.

K. H. Kim, R. Buyya, and J. Kim, Power Aware Scheduling of Bag-of-Tasks Applications with Deadline Constraints on DVS-enabled Clusters, Seventh IEEE International Symposium on Cluster Computing and the Grid (CCGrid '07), pp.541-548, 2007.
DOI : 10.1109/CCGRID.2007.85

K. Lahiri, A. Raghunathan, S. Dey, and D. Panigrahi, Battery-driven system design: a new frontier in low power design, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design, pp.261-267, 2002.
DOI : 10.1109/ASPDAC.2002.994932

P. Langen and B. Juurlink, Leakage-Aware Multiprocessor Scheduling, Journal of Signal Processing Systems, vol.74, issue.8, pp.73-88, 2009.
DOI : 10.1007/s11265-008-0176-8

S. Lee and T. Sakurai, Run-time voltage hopping for low-power real-time systems, Proceedings of the 37th conference on Design automation , DAC '00, pp.806-809, 2000.
DOI : 10.1145/337292.337785

S. Miermont, P. Vivet, and M. Renaudin, A Power Supply Selector for Energy- and Area-Efficient Local Dynamic Voltage Scaling, Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, pp.556-565, 2007.
DOI : 10.1007/978-3-540-74442-9_54

M. P. Mills, The internet begins with coal. Environment and Climate News, p.page, 1999.

T. Okuma, H. Yasuura, and T. Ishihara, Software energy reduction techniques for variable-voltage processors, IEEE Design & Test of Computers, vol.18, issue.2, pp.31-41, 2001.
DOI : 10.1109/54.914613

R. B. Prathipati, Energy efficient scheduling techniques for real-time embedded systems, 2004.

K. Pruhs, R. Van-stee, and P. Uthaisombut, Speed scaling of tasks with precedence constraints, Theory of Computing Systems, pp.67-80, 2008.

V. J. Rayward-smith, F. W. Burton, and G. J. Janacek, Scheduling parallel programs assuming preallocation, Theory and its Applications, 1995.

A. Schrijver, Combinatorial Optimization: Polyhedra and Efficiency, volume 24 of Algorithms and Combinatorics, 2003.

K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy et al., Temperature-aware microarchitecture, ACM Transactions on Architecture and Code Optimization, vol.1, issue.1, pp.94-125, 2004.
DOI : 10.1145/980152.980157

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.135.9559

L. Wang, G. Von-laszewski, J. Dayal, and F. Wang, Towards Energy Aware Scheduling for Precedence Constrained Parallel Tasks in a Cluster with DVFS, 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, pp.368-377, 2010.
DOI : 10.1109/CCGRID.2010.19

R. Xu, D. Mossé, and R. Melhem, Minimizing expected energy consumption in real-time systems through dynamic voltage scaling, ACM Transactions on Computer Systems, vol.25, issue.4, p.9, 2007.
DOI : 10.1145/1314299.1314300

L. Yang and L. Man, On-Line and Off-Line DVS for Fixed Priority with Preemption Threshold Scheduling, 2009 International Conference on Embedded Software and Systems, pp.273-280, 2009.
DOI : 10.1109/ICESS.2009.50

F. Yao, A. Demers, and S. Shenker, A scheduling model for reduced CPU energy, Proceedings of IEEE 36th Annual Foundations of Computer Science, p.374, 1995.
DOI : 10.1109/SFCS.1995.492493

Y. Zhang, X. S. Hu, and D. Z. Chen, Task scheduling and voltage selection for energy minimization, Proceedings of the 39th conference on Design automation , DAC '02, pp.183-188, 2002.
DOI : 10.1145/513918.513966

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.19.5619