G. Balakrishnan and T. Reps, Analyzing Memory Accesses in x86 Executables, In IN CC, pp.5-23, 2004.
DOI : 10.1007/978-3-540-24723-4_2

S. Chattopadhyay and A. Roychoudhury, Unified Cache Modeling for WCET Analysis and Layout Optimizations, 2009 30th IEEE Real-Time Systems Symposium, 2009.
DOI : 10.1109/RTSS.2009.20

A. Colin and I. Puaut, A modular and retargetable framework for tree-based WCET analysis, Proceedings 13th Euromicro Conference on Real-Time Systems, pp.37-44, 2001.
DOI : 10.1109/EMRTS.2001.933995

P. Cousot and R. Cousot, Abstract interpretation, Proceedings of the 4th ACM SIGACT-SIGPLAN symposium on Principles of programming languages , POPL '77, pp.238-252, 1977.
DOI : 10.1145/512950.512973

URL : https://hal.archives-ouvertes.fr/inria-00528590

C. Ferdinand and R. Wilhelm, On predicting data cache behavior for real-time systems, LCTES '98: Proceedings of the ACM SIGPLAN Workshop on Languages, Compilers , and Tools for Embedded Systems, pp.16-30, 1998.
DOI : 10.1007/BFb0057777

D. Hardy, T. Piquet, and I. Puaut, Using bypass to tighten WCET estimates for multi-core processors with shared instruction caches, Proceedings of the 30th Real- Time Systems Symposium, pp.68-77, 2009.
URL : https://hal.archives-ouvertes.fr/inria-00380298

D. Hardy and I. Puaut, Predictable Code and Data Paging for Real Time Systems, 2008 Euromicro Conference on Real-Time Systems, pp.266-275, 2008.
DOI : 10.1109/ECRTS.2008.16

D. Hardy and I. Puaut, WCET analysis of multi-level noninclusive set-associative instruction caches, RTSS '08: Proceedings of the 2008 Real-Time Systems Symposium, pp.456-466, 2008.

D. Kirk, SMART (strategic memory allocation for real-time) cache design, [1989] Proceedings. Real-Time Systems Symposium, pp.229-237, 1989.
DOI : 10.1109/REAL.1989.63574

B. Lesage, D. Hardy, and I. Puaut, WCET analysis of multi-level set-associative data caches, 9th Intl. Workshop on Worst-Case Execution Time (WCET) Analysis Schloss Dagstuhl - Leibniz-Zentrum fuer Informatik, 2009.
URL : https://hal.archives-ouvertes.fr/inria-00531218

Y. Li, V. Suhendra, T. Mitra, and A. Roychoudhury, Timing Analysis of Concurrent Programs Running on Shared Cache Multi-Cores, 2009 30th IEEE Real-Time Systems Symposium, 2009.
DOI : 10.1109/RTSS.2009.32

T. Lundqvist and P. Stenström, A method to improve the estimated worst-case performance of data caching, Proceedings Sixth International Conference on Real-Time Computing Systems and Applications. RTCSA'99 (Cat. No.PR00306), p.255, 1999.
DOI : 10.1109/RTCSA.1999.811244

T. Lundqvist and P. Stenström, Timing anomalies in dynamically scheduled microprocessors, Proceedings 20th IEEE Real-Time Systems Symposium (Cat. No.99CB37054), p.12, 1999.
DOI : 10.1109/REAL.1999.818824

F. S. Mueller16-]-h, T. Negi, A. Mitra, and . Roychoudhury, Static cache simulation and its applications Accurate estimation of cache-related preemption delay, CODES+ISSS '03: Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp.201-206, 1994.

M. Paolieri, E. Qui-nones, F. J. Cazorla, G. Bernat, and M. Valero, Hardware support for WCET analysis of hard real-time multicore systems, ISCA '09: Proceedings of the 36th annual international symposium on Computer architecture, pp.57-68, 2009.

J. Rosen, A. Andrei, P. Eles, and Z. Peng, Bus Access Optimization for Predictable Implementation of Real-Time Applications on Multiprocessor Systems-on-Chip, 28th IEEE International Real-Time Systems Symposium (RTSS 2007), pp.49-60, 2007.
DOI : 10.1109/RTSS.2007.24

R. Sen and Y. N. Srikant, WCET estimation for executables in the presence of data caches, Proceedings of the 7th ACM & IEEE international conference on Embedded software , EMSOFT '07, pp.203-212, 2007.
DOI : 10.1145/1289927.1289960

V. Suhendra and T. Mitra, Exploring locking & partitioning for predictable shared caches on multi-cores, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.300-303, 2008.
DOI : 10.1145/1391469.1391545

H. Theiling, C. Ferdinand, and R. Wilhelm, Fast and precise WCET prediction by separated cache and path analyses, pp.157-179, 2000.

G. Tyson, M. Farrens, J. Matthews, and A. R. Pleszkun, A modified approach to data cache management, Proceedings of the 28th Annual International Symposium on Microarchitecture, pp.93-103, 1995.
DOI : 10.1109/MICRO.1995.476814

X. Vera, B. Lisper, and J. Xue, Data caches in multitasking hard real-time systems, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748), 2003.
DOI : 10.1109/REAL.2003.1253263

X. Vera, B. Lisper, and J. Xue, Data cache locking for tight timing calculations, ACM Transactions on Embedded Computing Systems, vol.7, issue.1, pp.1-38, 2007.
DOI : 10.1145/1324969.1324973

X. Vera and J. Xue, Let's study whole-program cache behaviour analytically, Proceedings Eighth International Symposium on High Performance Computer Architecture, p.175, 2002.
DOI : 10.1109/HPCA.2002.995708

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.116.9757

R. Wilhelm, D. Grund, J. Reineke, M. Schlickling, M. Pister et al., Memory Hierarchies, Pipelines, and Buses for Future Architectures in Time-Critical Embedded Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.7, pp.966-978, 2009.
DOI : 10.1109/TCAD.2009.2013287

M. E. Wolf and M. S. Lam, A data locality optimizing algorithm, PLDI '91: Proceedings of the ACM SIG- PLAN 1991 conference on Programming language design and implementation, pp.30-44, 1991.

J. Yan and W. Zhang, WCET Analysis for Multi-Core Processors with Shared L2 Instruction Caches, 2008 IEEE Real-Time and Embedded Technology and Applications Symposium, pp.80-89, 2008.
DOI : 10.1109/RTAS.2008.6