Reliability-driven pin assignment optimization to improve in-orbit soft-error rate - Archive ouverte HAL Accéder directement au contenu
Article Dans Une Revue Microelectronics Reliability Année : 2020

Reliability-driven pin assignment optimization to improve in-orbit soft-error rate

Résumé

Electronics are increasingly susceptible to energetic particle interactions within the silicon. In order to improve the circuit reliability under radiation effects, several hardening techniques have been adopted in the design flow of VLSI systems. This paper proposes a pin assignment optimization in logic gates to reduce the Single-Event Transient (SET) cross-section and improve the in-orbit soft-error rate. Signal probability propagation is used to assign the lowest probability to the most sensitive input combination of the circuit by rewiring or pin swapping. The cell optimization can reach up to 48% reduction on the soft-error rate. For the analyzed arithmetic benchmark circuits, an optimized cell netlist can achieve from 8% to 28% reduction on the SET crosssection and in-orbit soft-error rate at no cost in the circuit design area. Additionally, as the pin swapping is a layout-friendly technique, the optimization does not impact on the cell placement and it can be adopted along with other hardening techniques in the logic and physical synthesis.
Fichier principal
Vignette du fichier
paper7.pdf (1.14 Mo) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)

Dates et versions

hal-03187821 , version 1 (01-04-2021)

Identifiants

Citer

Y.Q. Aguiar, Frédéric Wrobel, J-L Autran, P Leroux, Frédéric Saigné, et al.. Reliability-driven pin assignment optimization to improve in-orbit soft-error rate. Microelectronics Reliability, 2020, 114, pp.113885. ⟨10.1016/j.microrel.2020.113885⟩. ⟨hal-03187821⟩
69 Consultations
73 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More