Y. Bai, L. Gu, and X. Qi, Comparative study of energy performance between chip and inlet temperature-aware workload allocation in air-cooled data center, Energies, vol.11, issue.3, p.669, 2018.

N. Bansal, T. Kimbrel, and K. Pruhs, Speed scaling to manage energy and temperature, J. ACM, vol.54, issue.1, pp.1-3, 2007.

A. Barkat and A. Capone, Effective management of green cloud data centers using energy storage technologies, Proceedings of the 23rd International Conference on Software, Telecommunications and Computer Networks (SoftCOM), 2015.

. Bluetool,

D. Borgetto, H. Casanova, G. Da-costa, and J. M. Pierson, Energyaware service allocation, Future Gener. Comput. Syst, vol.28, issue.5, pp.94-125769, 2012.

D. M. Brooks, P. Bose, S. E. Schuster, H. Jacobson, P. N. Kudva et al., Power-aware microarchitecture: design and modeling challenges for next-generation microprocessors, IEEE Micro, vol.20, issue.6, pp.26-44, 2000.

M. T. Chaudhry, T. C. Ling, A. Manzoor, S. A. Hussain, and J. Kim, Thermal-aware scheduling in green data centers, ACM Comput. Surv, vol.47, issue.3, p.48, 2015.

K. Ebrahimi, G. F. Jones, and A. S. Fleischer, A review of data center cooling technology, operating conditions and the corresponding low-grade waste heat recovery opportunities, Renew. Sustain. Energy Rev, vol.31, pp.622-638, 2014.

C. Gu, L. Zhang, Z. He, H. Huang, and X. Jia, Minimizing energy cost for green cloud data centers by using ESDs, Proceedings of the 34th IEEE International Performance Computing and Communications Conference, 2015.

M. E. Haque, I. Goiri, R. Bianchini, and T. D. Nguyen, GreenPar: Scheduling parallel high performance applications in green datacenters, Proceedings of the 29th ACM International Conference on Supercomputing (ICS), 2015.

C. Herzog and J. Pierson, A generic learning multi-agent-system approach for spatio-temporal-, thermal-and energy-aware scheduling, Proceedings of the Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP), 2018.
URL : https://hal.archives-ouvertes.fr/hal-02651524

B. Kantarci, L. Foschini, A. Corradi, and H. T. Mouftah, Inter-andintra data center VM-placement for energy-efficient large-scale cloud systems, Proceedings of the First International workshop on Management and Security technologies for Cloud Computing, 2012.

H. Liu, B. Liu, L. T. Yang, M. Lin, Y. Deng et al., Thermal-aware and DVFS-enabled big data task scheduling for data centers, IEEE Trans. Big Data, vol.2, issue.4, pp.177-190, 2018.

G. I. Meijer, Cooling energy-hungry data centers, Science, vol.5976, issue.328, pp.318-319, 2010.

K. Metwally, A. Jarray, and A. Karmouch, MILP-based approach for efficient Cloud IAAS Resource Allocation, Proceedings of the IEEE 8th International Conference on Cloud Computing, 2015.

Y. Mhedheb and A. Streit, Energy-efficient task scheduling in data centers, Proceedings of the 6th International Conference on Cloud Computing and Services Science, 2016.

T. Mukherjee, A. Banerjee, G. Varsamopoulos, S. K. Gupta, and S. Rungta, Spatio-temporal thermal-aware job scheduling to minimize energy consumption in virtualized heterogeneous data centers, Comput. Netw, vol.53, issue.17, pp.2888-2904, 2009.

M. Ali, H. M. El-gorashi, T. E. Lawey, A. O. Elmirghani, and J. M. , Future energy efficient data centers with disaggregated servers, J Lightwave Technol, vol.35, issue.24, pp.5361-5380, 2017.

J. Moore, J. Chase, P. Ranganathan, and R. Sharma, Making scheduling ''cool'': temperature-aware workload placement in data centers, USENIX Conference, 2005.

K. Mukherjee, S. Khuller, and A. Deshpande, Algorithms for the thermal scheduling problem, Proceedings of the IEEE International Parallel & Distributed Processing Symposium (IPDPS, 2013.

S. A. Nada and M. A. Said, Effect of CRAC units layout on thermal management of data center, Appl. Therm. Eng, vol.118, pp.339-344, 2017.

E. Pakbaznia and M. Pedram, Minimizing data center cooling and server power costs, Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design, 2009.

D. Rajan and P. S. Yu, Temperature-aware scheduling: when is system-throttling good enough?, Proceedings of the International Conference on Web-Age Information Management (WAIM), 2008.

L. Ramos and R. Bianchini, C-Oracle: predictive thermal management for data centers, Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA), 2008.

A. Sansottera and P. Cremonesi, Cooling-aware workload placement with performance constraints, Perform. Eval, vol.68, issue.11, pp.1232-1246, 2011.

R. Sharrock, T. Monteil, P. Stolf, and O. Brun, Autonomic computing to manage green Core networks with Quality of Service, Proceedings of the Energy Efficiency in Large Scale Distributed Systems Conference, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00817915

O. Sarood, P. Miller, E. Totoni, and L. V. Kale, Cool'' load balancing for high performance computing data centers, IEEE Trans. Comput, vol.61, issue.12, pp.1752-1764, 2012.

K. Skadron, T. Abdelzaher, and M. R. Stan, Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management, Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA, 2002.

K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy et al., Temperature-aware microarchitecture: modeling and implementation, ACM Trans. Archit. Code Optim, vol.1, issue.1, pp.94-125, 2004.

M. Stillwell, D. Schanzenbach, F. Vivien, and H. Casanova, Resource allocation algorithms for virtualized service hosting platforms, J. Parallel Distrib. Comput, vol.70, issue.9, pp.962-974, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00527066

H. Sun, P. Stolf, J. Pierson, and G. Da-costa, Energy-efficient and thermal-aware resource management for heterogeneous datacenters, Sustain. Comput.: Inform. Syst, vol.4, issue.4, pp.292-306, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01153804

H. Sun, P. Stolf, and J. Pierson, Spatio-temporal thermal-aware scheduling for homogeneous high-performance computing datacenters, Future Gener. Comput. Syst, vol.71, pp.157-170, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01740033

Q. Tang, S. K. Gupta, and G. Varsamopoulos, Energy-efficient thermal-aware task scheduling for homogeneous high-performance computing data centers: a cyber-physical approach, IEEE Trans. Parallel Distrib. Syst, vol.19, issue.11, pp.1458-1472, 2008.

Q. Tang, T. Mukherjee, S. K. Gupta, and P. Cayton, Sensor-based fast thermal evaluation model for energy efficient high-performance datacenters, Proceedings of the Fourth International Conference on Intelligent Sensing and Information Processing, 2006.

V. Villebonnet, G. Da-costa, L. Lefevre, J. M. Pierson, and P. Stolf, Dynamically building energy proportional data centers with heterogeneous computing resources (short paper), IEEE International Conference On Cluster Computing (CLUSTER 2016), 2016.

T. Van-damme, C. De-persis, and P. Tesi, Optimized thermal-aware job scheduling and control of data centers, IFAC-PapersOnLine, vol.50, issue.1, pp.8244-8249, 2017.

L. Wang, S. U. Khan, and J. Dayal, Thermal aware workload placement with task-temperature profiles in a data center, J. Supercomput, vol.61, issue.3, pp.780-803, 2012.

J. Yang, X. Zhou, M. Chrobak, Y. Zhang, and L. Jin, Dynamic thermal management through task scheduling, Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2008.

F. Yao, A. Demers, and S. Shenker, A scheduling model for reduced CPU energy, Proceedings of the Annual Symposium on Foundations of Computer Science (FOCS), 1995.