R. Leupers, M. A. Aguilar, J. F. Eusse, J. Castrillon, and W. &sheng, MAPS: ASoftware Development Environment for Embedded Multicore Applications, pp.917-949, 2017.

P. Mukherjee and S. Chattopadhyay, Low Power Low Latency Floorplan-aware Path Synthesis in Application-Specific Network-on-Chip Design, Integration, the VLSI Journal, vol.58, pp.167-188, 2017.

L. Benini and G. Micheli, Networks on chip: A new SoC paradigm, IEEE Computer, vol.35, issue.1, pp.70-78, 2002.

S. Hesham, J. Rettkowski, D. Goehringer, and M. A. Ghany, Survey on Real-Time Networks-on-Chip.IEEE Transactions on Parallel and Distributed Systems, 2016.

M. R. Garey and D. S. Johnson, Computers and Intractability, A Guide to the Theory of, 1979.

E. G. Talbi, Metaheuristics: From Design to Implementation. United States, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00750681

S. Bandypadhyay, S. Saha, U. Maulik, and K. &deb, A Simulated Annealing-Based Multiobjective Optimization Algorithm: AMOSA, IEEE Transactions onevolutionary Computation, vol.12, issue.3, 2008.

G. Ascia, V. Catania, and M. Palesi, Mapping Cores on Network-on-Chip, International Journal of Computational Intelligence Research, pp.109-126, 2005.

W. Zhou, . Zhang, and Z. Mao, Pareto based Multi-objective Mapping IP Cores onto NoC Architectures, Circuits and Systems, pp.331-334, 2006.

R. K. Jena and G. K. &sharma, A multi-objective evolutionary algorithm-based optimisation model for network on chip synthesis, International Journal of Innovative Computing and Applications, pp.977-982, 2007.

R. Tornero, V. Sterrantino, M. Palesi, and J. M. Orduna, A multi-objective strategy for concurrent mapping and routing in networks on chip, International Symposium on Parallel and Distributed Processing, pp.1-8, 2009.

N. Nedjah, M. V. Da-sliva, and L. D. , Customized computer-aided application mapping on NoC infrastructure using multi-objective optimization, Journal of System Architecture, vol.57, issue.1, pp.79-94, 2011.

T. He and Y. Guo, Power consumption optimization and delay based on ant colony algorithm in network-on-chip, Engineering Review, vol.33, issue.3, pp.219-225, 2013.

D. Zhu, L. Chen, T. M. Pinkston, and M. Pedram, TAPP: Temperature-Aware Application Mapping for NoC-Based Many-Core processors, Design Automation and Test in Europe Conference and exhibition, pp.1241-1244, 2015.

N. Chatterjee, S. Reddy, . Reddy, . Shi, and . S. &chattopadhyay, A reliability awareapplication mapping onto mesh based Network-on, International Conference on Recent Advances in Information Technology(RAIT), pp.537-542, 2016.

J. V. Bruch, E. A. Sliva, . Da, C. A. Zeferino, and L. S. &indrusiak, Deadline, Energy and Buffer-Aware Task Mapping Optimization in NoC-Based SoCs Using Genetic Algorithms, Symposium on Computing Systems Engineering (SBESC), pp.86-93, 2017.

C. Blum, J. Puchinger, G. R. Raidl, and A. Roli, Hybrid Metaheuristics in Combinatorial Optimization: A Survey, Applied Soft Computing, vol.11, issue.6, pp.4135-4151, 2011.
URL : https://hal.archives-ouvertes.fr/hal-01224683

N. Wu, Y. Mu, and F. Ge, GA-MMAS: an Energy-and Latency-aware Mapping Algorithm for 2D Network-on-Chip, IAENG International Journal of Computer Science, 2012.

X. Wang, H. Liu, and Z. Yu, A novel heuristic algorithm for IP block mapping onto mesh-based networks-on-chip, The Journal of Supercomputing, vol.72, issue.5, pp.2035-2058, 2016.

R. Yan, Y. Zhou, Y. Yan, M. Yin, M. Yu et al., A Hybrid Multiobjective Evolutionary Algorithm for Energy-Aware Allocation and Scheduling Optimization of MPSoCs, International Conference on Tools with Artificial Intelligence, pp.701-708, 2017.

L. Guo, Y. &ge, P. Hou, Q. Cai, and J. Wu, A Novel IP-Core Mapping Algorithm in Reliable 3D Optical Network-on-Chips, Optical Switching and Networking, 2018.

K. Deb, A. Pratap, S. Agarwal, and T. Meyarivan, A fast and elitist multi-objective genetic algorithm: NSGA-II, IEEE Transactions on EvolutionaryComputation, vol.6, issue.2, p.182197, 2002.

E. Zitzler, M. Laumanns, and L. &thiele, SPEA2: improving the performance of the strength Pareto evolutionary algorithm, 2001.

D. W. Corne, N. R. Jerram, J. D. Knowles, and M. J. Oates, PESA-II: region-basedselection in evolutionary multiobjective optimization, Proceedings of theGenetic and Evolutionary Computation Conference, 2001.

H. Eskandari, C. D. Geiger, and G. B. Lamont, FastPGA: a dynamic populationsizing approach for solving expensive multi-objective optimization problems, 4th International Conference on Evolutionary Multi-Criterion Optimization, 2007.

E. Zitzler and S. Kunzli, Indicator-based selection in multiobjective search, pp.832-842, 2004.

N. Srinivas and K. Deb, Multi-objective optimization function optimizationusing nondominated sorting genetic algorithms, Evolutionary Computation, vol.2, pp.221-248, 1995.

. Tgff and . Task, Graph For Free

A. J. Nebro, F. Luna, E. Alba, A. Beham, and B. &dorronsoro, AbYSS: Adapting Scatter Search for Multiobjective Optimization, 2006.

J. J. Durillo and A. J. Nebro, jMetal: A Java framework for multiobjective optimization, Advances in Engineering Software, pp.760-771, 2011.

C. C. Coello and G. Pulido, A micro-genetic algorithm for multiobjective optimization, Lecture Notes in Computer Science, pp.126-140, 2001.

A. Zhou, B. Y. Qu, H. Lui, S. Z. Zhao, P. N. Suganthan et al., Multiobjective evolutionary algorithms: A survey of the state of the art, Swarm and Evolutionary Computation, vol.1, issue.1, pp.32-49, 2011.

B. Tafesse and V. &muthukumar, Framework for simulation of heterogeneous MpSoC for design space exploration, VLSI Design, pp.1-16, 2013.

G. Sanchez, L. Agostini, L. Sousa, and C. Marcon, Parallelism exploration for 3D highefficiency video coding depth modeling mode one, In Journal of Real-Time Image Processing, pp.1-11, 2018.

I. Stoychev, Sensor data fusion with MPSoCSim in the context of electric vehicle charging stations, the Proc. of IEEE Nordic Circuits and Systems Conference (NORCAS), pp.1-6, 2016.

J. Rettkowski, P. Wehner, M. Schülper, and D. Göhringer, A Flexible Software Framework for Dynamic Task Allocation on MPSoCs Evaluated in an Automotive Context, Applied Reconfigurable Computing, vol.9040, 2015.

P. Meloni, G. Tuveri, D. Pani, L. Raffo, and F. Palumbo, Exploring custom heterogeneous MPSoCs for real-time neural signal decoding, the Proc. ofConference on Design and Architectures for Signal and Image Processing, pp.1-8, 2015.

A. Iranfar, A. Pahlevan, M. Zapater, M. ?agar, M. Kova? et al., Online efficient bio-medical video transcoding on MPSoCs through content-aware workload allocation, the Proc. ofDesign, Automation & Test in Europe Conference & Exhibition (DATE), pp.949-954, 2018.

, A MicroBlaze-based Multiprocessor System on Chip for real-time cardiac monitoring, the Proc. ofthe International Conference on Multimedia Computing and Systems (ICMCS), pp.331-336, 2014.