F. Drillet, M. Hamieh, L. Zerioul, A. Brière, and E. Unlu, Flexible Radio Interface for NoC RF-Interconnect, 17th Euromicro Conference on Digital System Design, pp.36-41, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01084993

, International Technology Roadmap for Semiconductors, 2013.

K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat, 3-D ICs: A novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration, Proceedings of the IEEE, vol.89, issue.5, pp.602-633, 2001.

Y. Ye, L. Duan, J. Xu, J. Ouyang, and M. K. Hung, 3D optical Networks-on-Chip (NoC) for Multi-Processor Systems-on-Chip, pp.1-6, 2009.

J. Lee, M. Zhu, K. Choi, J. H. Ahn, and R. Sharma, 3D Networkon-Chip with Wireless Links through Inductive Coupling, 2011 International SoC Design Conference, pp.353-356, 2011.

H. Esmaeilzadeh, E. Blem, R. S. Amant, K. Sankaralingam, and D. Burger, Power Challenges May End the Multicore Era, Communications of the ACM, vol.56, issue.2, pp.93-102, 2013.

I. O'connor and F. Gaffiot, On-Chip Optical Interconnect for LowPower, Ultra Low-Power Electronics and Design, pp.21-39, 2004.

G. Kurian, J. E. Miller, J. Psota, J. Eastep, and J. Liu, ATAC: A 1000-Core Cache-Coherent Processor with On-Chip Optical Network, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, ser. PACT '10, pp.477-488, 2010.

M. F. Chang, V. P. Roychowdhury, L. Zhang, H. Shin, and Y. Qian, RF/Wireless Interconnect for Inter-and Intra-Chip Communications, Proceedings of the IEEE, vol.89, issue.4, pp.456-466, 2001.

M. F. Chang, J. Cong, A. Kaplan, M. Naik, and G. Reinman, CMP Network-on-Chip Overlaid With Multi-Band RF-Interconnect, 2008 IEEE 14th International Symposium on High Performance Computer Architecture, pp.191-202, 2008.

S. Deb, K. Chang, M. Cosic, A. Ganguly, and P. P. Pande, CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links, Proceedings of the great lakes symposium on VLSI, ser. GLSVLSI '12, pp.165-170, 2012.

A. Karkar, T. Mak, K. Tong, and A. Yakovlev, A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in ManyCores, IEEE Circuits and Systems Magazine, vol.16, issue.1, pp.58-72, 2016.

D. Vantrease, R. Schreiber, M. Monchiero, M. Mclaren, and N. P. Jouppi, Corona: System Implications of Emerging Nanophotonic Technology, Proceedings of the 35th Annual International Symposium on Computer Architecture, ser. ISCA '08, pp.153-164, 2008.

C. Xiao, F. Chang, J. Cong, M. Gill, and Z. Huang, Stream Arbitration: Towards Efficient Bandwidth Utilization for Emerging On-Chip Interconnects, ACM Transactions on Architecture and Code Optimization (TACO), vol.9, issue.4, p.60, 2013.

A. Brière, J. Denoulet, A. Pinna, B. Granado, and F. Pêcheux, A Dynamically Reconfigurable RF NoC for Many-Core, Proceedings of the 25th edition on Great Lakes Symposium on VLSI, ser. GLSVLSI '15, pp.139-144, 2015.

, Soclib Documentation, LIP6, 2006.

M. Hamieh, M. Ariaudo, S. Quintanel, and Y. Louët, Sizing of the Physical Layer of a RF Intra-Chip Communications, 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS)
URL : https://hal.archives-ouvertes.fr/hal-01091652

, IEEE, pp.163-166, 2014.

N. E. Jerger, L. Peh, and M. Lipasti, Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support, 2008 International Symposium on Computer Architecture, pp.229-240, 2008.

G. Chiu, The odd-even turn model for adaptive routing, IEEE Transactions on parallel and distributed systems, vol.11, issue.7, pp.729-738, 2000.

I. M. Panades, A. Greiner, and A. Sheibanyrad, A Low Cost Networkon-Chip with Guaranteed Service Well Suited to the GALS Approach, 2006 1st International Conference on Nano-Networks and Workshops, pp.1-5, 2006.