L. Benini and G. De-micheli, Dynamic Power Management, 1998.

C. Bienia, S. Kumar, J. P. Singh, and K. Li, The PAR-SEC benchmark suite: characterization and architectural implications, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pp.72-81, 2008.

J. Bonnot, E. Nogues, and D. Menard, New Non-Uniform Segmentation Technique for Software Function Evaluation, 2016.
DOI : 10.1109/asap.2016.7760782

URL : https://hal.archives-ouvertes.fr/hal-01479171

F. Bossen, Common HM test conditions and software reference configurations, JCTVC-L1100, 2013.

V. Camus, J. Schlachter, and C. Enz, Energy-efficient inexact speculative adder with high performance and accuracy control, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp.45-48, 2015.
DOI : 10.1109/iscas.2015.7168566

URL : https://infoscience.epfl.ch/record/210364/files/Camus_ISCAS-2015.pdf

V. Camus, J. Schlachter, and C. Enz, A low-power carry cutback approximate adder with fixed-point implementation and floating-point precision, pp.1-6, 2016.
DOI : 10.1145/2897937.2897964

URL : http://dl.acm.org/ft_gateway.cfm?id=2897964&type=pdf

M. Carbin, S. Misailovic, and M. C. Rinard, Verifying quantitative reliability for programs that execute on unreliable hardware, pp.33-52, 2013.
DOI : 10.1145/2958738

URL : http://dl.acm.org/ft_gateway.cfm?id=2958738&type=pdf

V. K. Chippa, D. Mohapatra, A. Raghunathan, K. Roy, and S. T. Chakradhar, Scalable effort hardware design: exploiting algorithmic resilience for energy efficiency, Proceedings of the 47th Design Automation Conference, pp.555-560, 2010.
DOI : 10.1145/1837274.1837411

F. De-dinechin and A. Tisserand, Multipartite table methods, IEEE Transactions on Computers, vol.54, issue.3, pp.319-330, 2005.
URL : https://hal.archives-ouvertes.fr/ensl-00542210

K. Du, P. Varman, and K. Mohanram, High performance reliable variable latency carry select addition, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1257-1262, 2012.

R. Efraim, N. Alon, R. Doron, A. Avinash, and W. Eliezer, Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy Bridge, IEEE Computer Society, vol.32, issue.2, pp.20-27, 2012.

D. Hackenberg, R. Schone, T. Ilsche, D. Molka, J. Schuchart et al., An Energy Efficiency Feature Survey of the Intel Haswell Processor, Parallel and Distributed Processing Symposium Workshop (IPDPSW), pp.896-904, 2015.

W. Hamidouche, C. Olivier, Y. Pousset, and C. Perrine, Optimal resource allocation for Medium Grain Scalable video transmission over MIMO channels, Journal of Visual Communication and Image Representation, vol.24, issue.3, pp.373-387, 2013.

C. Herglotz, R. Rosales, M. Gla\s-s, J. Teich, and A. Kaup, Multi-objective design space exploration for the optimization of the HEVC mode decision process, Picture Coding Symposium (PCS), pp.1-5, 2016.

. Jct-vc, HEVC reference software, 2016.

M. U. Khan, M. Shafique, and J. Henkel, An adaptive complexity reduction scheme with fast prediction unit decision for HEVC intra encoding, 20th IEEE International Conference on. IEEE, pp.1578-1582, 2013.

A. Koivula, M. Viitanen, A. Lemmetti, J. Vanne, and T. D. Hämäläinen, Performance evaluation of Kvazaar HEVC intra encoder on Xeon Phi many-core processor, Signal and Information Processing, pp.1250-1254, 2015.

A. Koivula, M. Viitanen, J. Vanne, T. D. Hamalainen, and L. Fasnacht, Parallelization of Kvazaar HEVC intra encoder for multi-core processors, Signal Processing Systems (SiPS), pp.1-6, 2015.

P. K. Krause and I. Polian, Adaptive voltage over-scaling for resilient applications, 2011 Design, Automation & Test in Europe. IEEE, pp.1-6, 2011.
DOI : 10.1109/date.2011.5763153

R. Li, B. Zeng, and M. L. Liou, A new three-step search algorithm for block motion estimation, IEEE transactions on circuits and systems for video technology, vol.4, pp.438-442, 1994.

A. Lingamneni, C. Enz, J. Nagel, K. Palem, and C. Piguet, Energy parsimonious circuit design through probabilistic pruning, Design, Automation & Test in Europe. IEEE, pp.1-6, 2011.
DOI : 10.1109/date.2011.5763130

J. Ludwig, S. Nawab, and A. Chandrakasan, Low-power digital filtering using approximate processing, IEEE Journal of Solid-State Circuits, vol.31, issue.3, pp.395-400, 1996.
DOI : 10.1109/4.494201

P. Macken, M. Degrauwe, M. Van-paemel, and H. Oguey, A voltage reduction technique for digital systems, p.29, 1990.
DOI : 10.1109/isscc.1990.110213

A. Mercat, F. Arrestier, W. Hamidouche, M. Pelcat, and D. Menard, Constrain the Docile CTUs: an In-Frame Complexity Allocator for HEVC Intra Encoders, Acoustics, Speech and Signal Processing, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01498495

A. Mercat, F. Arrestier, W. Hamidouche, M. Pelcat, and D. Menard, Energy Reduction Opportunities in an HEVC RealTime Encoder, 2017 IEEE International Conference on. IEEE, pp.1158-1162, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01498493

A. Mercat, J. Nezan, D. Menard, and J. Zhang, Implementation of a stereo matching algorithm onto a manycore embedded system, IEEE International Symposium on. IEEE, pp.1296-1299, 2014.

D. Mohapatra, V. K. Chippa, A. Raghunathan, and K. Roy, Design of voltage-scalable meta-functions for approximate computing, Design, Automation & Test in Europe. IEEE, pp.1-6, 2011.

J. Nezan, A. Mercat, P. Delmas, and G. Gimelfarb, Optimized Belief Propagation Algorithm onto Embedded Multi and Many-Core Systems for Stereo Matching, pp.332-336, 2016.
DOI : 10.1109/pdp.2016.52

URL : https://hal.archives-ouvertes.fr/hal-01368103

B. Nguyen, C. Mazure, D. Delprat, C. Aulnette, N. Daval et al., Overview of FDSOI technology from substrate to device. Semiconductor Device Research Symposium, pp.1-2, 2009.

E. Nogues, D. Menard, and M. Pelcat, Algorithmic-level Approximate Computing Applied to Energy Efficient HEVC Decoding, IEEE Transactions on Emerging Topics in Computing, pp.1-1, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01354638

L. Po and W. Ma, A novel four-step search algorithm for fast block motion estimation, IEEE transactions on circuits and systems for video technology, vol.6, issue.3, pp.313-317, 1996.

L. Renganarayana, V. Srinivasan, R. Nair, and D. Prener, Programming with relaxed synchronization, Proceedings of the 2012 ACM workshop on Relaxing synchronization for multicore and manycore scalability, pp.41-50, 2012.

A. B. Sachid, R. Francis, M. S. Baghini, D. K. Sharma, K. Bach et al., Sub-20 nm gate length FinFET design: Can high-k spacers make a difference?, 2008 IEEE International Electron Devices Meeting. IEEE, pp.1-4, 2008.

A. Sampson, W. Dietl, E. Fortuna, D. Gnanapragasam, L. Ceze et al., EnerJ: Approximate data types for safe and general low-power computation, ACM SIGPLAN Notices, vol.46, pp.164-174, 2011.

J. Schlachter, V. Camus, C. Enz, and K. V. Palem, Automatic generation of inexact digital circuits by gate-level pruning, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp.173-176, 2015.

M. Shafique and J. Henkel, Low power design of the nextgeneration high efficiency video coding, Design Automation Conference (ASP-DAC), pp.274-281, 2014.

S. Sidiroglou-douskos, S. Misailovic, H. Hoffmann, and M. Rinard, Managing performance vs. accuracy trade-offs with loop perforation, Proceedings of the 19th ACM SIGSOFT symposium and the 13th European conference on Foundations of software engineering, pp.124-134, 2011.

J. Sorber, A. Kostadinov, M. Garber, . Brennan, E. D. Berger et al., Eon: A Language and Runtime System for Perpetual Systems, Proceedings of the 5th international conference on Embedded networked sensor systems 400, p.600, 2007.

G. J. Sullivan, J. Ohm, W. Han, and T. Wiegand, Overview of the High Efficiency Video Coding (HEVC) Standard. IEEE Transactions on Circuits and Systems for Video Technology, vol.22, pp.1649-1668, 2012.

V. Sze, M. Budagavi, and G. J. Sullivan, High Efficiency Video Coding (HEVC). Integrated Circuits and Systems, 2014.

M. Viitanen, A. Koivula, A. Lemmetti, J. Vanne, and T. D. Hamalainen, Kvazaar HEVC encoder for efficient intra coding, IEEE International Symposium on. IEEE, pp.1662-1665, 2015.

J. E. Volder, The CORDIC trigonometric computing technique, IRE Transactions on Electronic Computers, issue.3, pp.330-334, 1959.

T. Wiegand, G. Sullivan, G. Bjontegaard, and A. Luthra, Overview of the H.264/AVC video coding standard, IEEE Transactions on Circuits and Systems for Video Technology, vol.13, issue.7, pp.560-576, 2003.

P. Yinji, M. Junghye, and C. Jiangle, Encoder improvement of unified intra prediction, 2010.

L. Zhao, L. Zhang, S. Ma, and D. Zhao, Fast mode decision algorithm for intra prediction in HEVC, Visual Communications and Image Processing, pp.1-4, 2011.

S. Zhu and K. Ma, A new diamond search algorithm for fast block matching motion estimation, Information, Communications and Signal Processing, vol.1, pp.292-296, 1997.