R. Airoldi, F. Campi, and J. Nurmi, Approximate computing for complexity reduction in timing synchronization, EURASIP Journal on Advances in Signal Processing, vol.2014, issue.1, p.155, 2014.

J. Park, J. H. Choi, and K. Roy, Dynamic bit-width adaptation in dct: an approach to trade off image quality and computation energy, IEEE transactions on very large scale integration (VLSI) systems, vol.18, 2010.

H. Nguyen, D. Menard, and O. Sentieys, Dynamic precision scaling for low power wcdma receiver, Circuits and Systems, pp.205-208, 2009.
URL : https://hal.archives-ouvertes.fr/inria-00432584

A. Mercat, J. Bonnot, M. Pelcat, K. Desnos, W. Hamidouche et al., Smart search space reduction for approximate computing: A low energy hevc encoder case study, JSA, vol.80, pp.56-67, 2017.
URL : https://hal.archives-ouvertes.fr/hal-02136709

J. Bonnot, E. Nogues, and D. Menard, New non-uniform segmentation technique for software function evaluation, Application-specific Systems, Architectures and Processors (ASAP), 2016 IEEE 27th International Conference on, pp.131-138, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01479171

S. Misailovic, S. Sidiroglou, H. Hoffmann, and M. Rinard, Quality of service profiling, Software Engineering, 2010 ACM/IEEE 32nd International Conference on, vol.1, pp.25-34, 2010.

K. Shi, D. Boland, and G. A. Constantinides, Accuracy-performance tradeoffs on an FPGA through overclocking, IEEE FCCM, 21st Annual International Symposium on, pp.29-36, 2013.

T. Liu and S. Lu, Performance improvement with circuit-level speculation, IEEE/ACM MICRO 2000, pp.348-355, 2000.

A. K. Verma, P. Brisk, and P. Ienne, Variable latency speculative addition: A new paradigm for arithmetic circuit design, Design, Automation and Test in Europe (DATE), pp.1250-1255, 2008.

V. Camus, J. Schlachter, and C. Enz, Energy-efficient inexact speculative adder with high performance and accuracy control, Circuits and Systems (ISCAS), IEEE International Symposium, 2015.

N. Zhu, W. Goh, and K. Yeo, An enhanced low-power high-speed adder for error-tolerant application, 12th IEEE International Symposium on, pp.69-72, 2009.

V. Camus, J. Schlachter, and C. Enz, A low-power carry cut-back approximate adder with fixed-point implementation and floating-point precision, Design Automation Conference (DAC), 2016.

C. Liu, J. Han, and F. Lombardi, An analytical framework for evaluating the error characteristics of approximate adders, IEEE Transactions on Computers (TC), vol.64, issue.5, 2015.

Y. Wu, Y. Li, X. Ge, and W. Qian, An accurate and efficient method to calculate the error statistics of block-based approximate adders, 2017.

S. Mazahir, O. Hasan, R. Hafiz, M. Shafique, and J. Henkel, Probabilistic error modeling for approximate adders, IEEE Transactions on Computers (TC), vol.66, issue.3, pp.515-530, 2017.

C. Yu and M. Ciesielski, Analyzing imprecise adders using bdds-a case study, VLSI (ISVLSI), pp.152-157, 2016.

K. Du, P. , and K. Mohanram, High performance reliable variable latency carry select addition, IEEE DATE, pp.1257-1262, 2012.

H. Jiang, C. Liu, L. Liu, F. Lombardi, and J. Han, A review, classification and comparative evaluation of approximate arithmetic circuits, ACM Journal on Emerging Technologies in Computing Systems, 2017.

V. Camus, M. Cacciotti, J. Schlachter, and C. Enz, Design of approximate circuits by fabrication of false timing paths: The carry cut-back adder, IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), 2018.

J. Bonnot, V. Camus, K. Desnos, and D. Menard, Cassis: Characterization with adaptive sample-size inferential statistics applied to inexact circuits, Signal Processing Conference (EUSIPCO), 2018.
URL : https://hal.archives-ouvertes.fr/hal-01879628

J. Huang, J. Lach, and G. Robins, A methodology for energy-quality tradeoff using imprecise hardware, Proceedings of the 49th Annual Design Automation Conference, pp.504-509, 2012.

R. E. Moore, Interval arithmetic and automatic error analysis in digital computing, Stanford Univ Calif Applied Mathematics And Statistics Labs, Tech. Rep, 1962.

D. Sengupta, F. S. Snigdha, J. Hu, and S. S. Sapatnekar, Saber: Selection of approximate bits for the design of error tolerant circuits, Proceedings of the 54th Annual Design Automation Conference, p.72, 2017.

, An analytical approach for error pmf characterization in approximate circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018.

J. Bonnot, K. Desnos, M. Pelcat, and D. Menard, A fast and fuzzy functional simulator of inexact arithmetic operators for approximate computing systems, Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp.195-200, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01812719

A. S. Roy and A. S. Dhar, A novel approach for fast and accurate mean error distance computation in approximate adders, Circuits and Systems (ISCAS), pp.1-5, 2018.

R. Lowry, Concepts and applications of inferential statistics, 2014.

G. Saporta, Probabilités, analyse des données et statistique. Editions Technip, 2006.

R. R. Kinnison, Applied extreme value statistics, 1985.

R. Reiss, M. Thomas, and R. Reiss, Statistical analysis of extreme values, vol.2, 2007.

E. Ozer, A. P. Nisbet, and D. Gregg, A stochastic bitwidth estimation technique for compact and low-power custom processors, ACM Transactions on Embedded Computing Systems (TECS), vol.7, issue.3, p.34, 2008.

A. Chapoutot, L. Didier, and F. Villers, Range estimation of floatingpoint variables in simulink models, Design and Architectures for Signal and Image Processing (DASIP), 2012 Conference on, pp.1-8, 2012.
URL : https://hal.archives-ouvertes.fr/hal-01298874