P. Eloranta and P. Seppinen, Direct-digital RF modulator IC in 0.13 µm CMOS for wide-band multi-radio applications, IEEE ISSCC Dig. Tech. Papers, pp.532-534, 2005.

A. Jerng and C. G. Sodini, A wideband digital-RF modulator for high data rate transmitters, IEEE J. Solid-State Circuits, vol.42, issue.8, pp.1710-1722, 2007.

R. Bhat, J. Zhou, and H. Krishnaswamy, Wideband mixed-domain multi-tap finite-impulse response filtering of out-of-band noise floor in watt-class digital transmitters, IEEE J. Solid-State Circuits, vol.52, issue.12, pp.3405-3420, 2017.

R. Marin, A. Frappé, and A. Kaiser, Digital complex delta-sigma modulators with highly configurable notches for multi-standard coexistence in wireless transmitters, IEEE Trans. Circuits Syst. I, Reg. Papers, vol.65, issue.1, pp.343-352, 2018.

S. M. Taleie, T. Copani, B. Bakkaloglu, and S. Kiaei, A linear ? digital IF to RF DAC transmitter with embedded mixer, IEEE Trans. Microw. Theory Techn, vol.56, issue.5, pp.1059-1068, 2008.

X. He and J. V. Sinderen, A low-power, low-EVM, SAW-less WCDMA transmitter using direct quadrature voltage modulation, IEEE J. SolidState Circuits, vol.44, issue.12, pp.3448-3458, 2009.

M. S. Alavi, R. B. Staszewski, L. C. De-vreede, A. Visweswaran, and J. R. Long, All-digital RF I /Q modulator, IEEE Trans. Microw. Theory Techn, vol.60, issue.11, pp.3513-3526, 2012.

J. J. Mccue, A time-interleaved multimode RF-DAC for direct digital-to-RF synthesis, IEEE J. Solid-State Circuits, vol.51, issue.5, pp.1109-1124, 2016.

J. Vankka, J. Sommarek, J. Ketola, I. Teikari, and K. A. Halonen, A digital quadrature modulator with on-chip D/A converter, IEEE J. Solid-State Circuits, vol.38, issue.10, pp.1635-1642, 2003.

A. Frappe, A. Flament, B. Stefanelli, A. Kaiser, and A. Cathelin, An all-digital RF signal generator using high-speed modulators, IEEE J. Solid-State Circuits, vol.44, issue.10, pp.2722-2732, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00471973

M. S. Alavi, R. B. Staszewski, L. C. De-vreede, and J. R. Long, A wideband 2×13-bit all-digital I/Q RF-DAC, IEEE Trans. Microw. Theory Techn, vol.62, issue.4, pp.732-752, 2014.

J. Deveugele, P. Palmers, and M. S. Steyaert, Parallel-path digitalto-analog converters for Nyquist signal generation, IEEE J. Solid-State Circuits, vol.39, issue.7, pp.1073-1082, 2004.

C. Krall, C. Vogel, and K. Witrisal, Time-interleaved digital-to-analog converters for UWB signal generation, Proc. IEEE Int. Conf. UltraWideband, pp.366-371, 2007.

S. Balasubramanian, Systematic analysis of interleaved digitalto-analog converters, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol.58, issue.12, pp.882-886, 2011.

P. T. Van-zeijl and M. Collados, On the attenuation of DAC aliases through multiphase clocking, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol.56, issue.3, pp.190-194, 2009.

G. Mitteregger, C. Ebner, S. Mechnig, T. Blon, C. Holuigue et al., A 20-mW 640-MHz CMOS continuous-time ADC with 20-MHz signal bandwidth, 80-dB dynamic range and 12-bit ENOB, IEEE J. Solid-State Circuits, vol.41, issue.12, pp.2641-2649, 2006.

F. T. Gebreyohannes, A. Frappé, and A. Kaiser, A configurable transmitter architecture for IEEE 802.11ac and 802.11ad standards, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol.63, issue.1, pp.9-13, 2016.

E. Roverato, A configurable sampling rate converter for all-digital 4G transmitters, Proc. ECCTD, pp.1-4, 2013.

R. Schreier and G. C. Temes, Understanding Delta-Sigma Data Converters, 2005.

S. M. Mcdonnell, V. J. Patel, L. Duncan, B. Dupaix, and W. Khalil, Compensation and calibration techniques for current-steering DACs, IEEE Circuits Syst. Mag, vol.17, issue.2, pp.4-26, 2017.

P. Palmers and M. S. Steyaert, A 10-bit 1.6-GS/s 27-mW currentsteering D/A converter with 550-MHz 54-dB SFDR bandwidth in 130-nm CMOS, IEEE Trans. Circuits Syst. I, Reg. Papers, vol.57, issue.11, pp.2870-2879, 2010.

F. T. Gebreyohannes, A. Frappé, and A. Kaiser, Semi-digital FIR DAC for low power single carrier IEEE 802.11ad 60 GHz transmitter, Proc. IEEE 13th NEWCAS, pp.1-4, 2015.

A. Petraglia and S. K. Mitra, Effects of coefficient inaccuracy in switched-capacitor transversal filters, IEEE Trans. Circuits Syst, vol.38, issue.9, pp.977-983, 1991.

T. Chen and G. G. Gielen, The analysis and improvement of a current-steering DACs dynamic SFDR-I: The cell-dependent delay differences, IEEE Trans. Circuits Syst. I, Reg. Papers, vol.53, issue.1, pp.3-15, 2006.

T. Chen and G. E. Gielen, The analysis and improvement of a current-steering DAC's dynamic SFDR-II: The output-dependent delay differences, IEEE Trans. Circuits Syst. I, Reg. Papers, vol.54, issue.2, pp.268-279, 2007.

A. Bhide and A. Alvandpour, An 11 GS/s 1.1 GHz bandwidth interleaved-DAC for 60 GHz radio in 65 nm CMOS, IEEE J. Solid-State Circuits, vol.50, issue.10, pp.2306-2318, 2015.

D. B. Barkin, A. C. Lin, D. K. Su, and B. A. Wooley, A CMOS oversampling bandpass cascaded D/A converter with digital FIR and currentmode semi-digital filtering, IEEE J. Solid-State Circuits, vol.39, issue.4, pp.585-593, 2004.

A. Flament, A. Frappe, A. Kaiser, B. Stefanelli, A. Cathelin et al., A 1.2 GHz semi-digital reconfigurable FIR bandpass filter with passive power combiner, Proc. IEEE ESSCIRC, pp.418-421, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00834332

F. T. Gebreyohannes, A. Frappé, and A. Kaiser, Multi-standard semidigital FIR DAC: A design procedure, IEEE MTT-S Int. Wireless Symp. Dig, pp.1-4, 2016.

C. Lin, A 12 bit 2.9 GS/s DAC with IM3 ?60 dBc beyond 1 GHz in 65 nm CMOS, IEEE J. Solid-State Circuits, vol.44, issue.12, pp.3285-3293, 2009.

X. He, J. Van-sinderen, and R. Rutten, A 45 nm WCDMA transmitter using direct quadrature voltage modulator with high oversampling digital front-end, IEEE ISSCC Dig. Tech. Papers, pp.62-63, 2010.

N. Codega, P. Rossi, A. Pirola, A. Liscidini, and R. Castello, A currentmode, low out-of-band noise LTE transmitter with a class-A/B power mixer, IEEE J. Solid-State Circuits, vol.49, issue.7, pp.1627-1638, 2014.

P. E. Paro-filho, M. Ingels, P. Wambacq, and J. Craninckx, An incremental-charge-based digital transmitter with built-in filtering, IEEE J. Solid-State Circuits, vol.50, issue.12, pp.3065-3076, 2015.