P. Greenhalgh, Big.LITTLE Processing with ARM Cortex-A15 & Cortex-A7 ARM White Paper, 2011.

J. C. Dehnert, B. K. Grant, J. P. Banning, R. Johnson, T. Kistler et al., The Transmeta Code Morphing TM Software: Using Speculation, Recovery, and Adaptive Retranslation to Address Real-Life Challenges, Proceedings of the International Symposium on Code Generation and Optimization: Feedback-Directed and Runtime Optimization, pp.15-24

D. Boggs, G. Brown, N. Tuck, and K. S. Venkatraman, Denver: Nvidia's First 64-bit ARM Processor, IEEE Micro, vol.35, issue.2, pp.46-55
DOI : 10.1109/MM.2015.12

J. D. Hiser, D. Williams, W. Hu, J. W. Davidson, J. Mars et al., Evaluating Indirect Branch Handling Mechanisms in Software Dynamic Translation Systems, Proceedings of the International Symposium on Code Generation and Optimization, CGO '07

R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen, Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449)
DOI : 10.1109/MICRO.2003.1253185

R. Kumar, D. M. Tullsen, P. Ranganathan, N. P. Jouppi, and K. I. Farkas, Single-ISA heterogeneous multi-core architectures for multithreaded workload performance, Proceedings. 31st Annual International Symposium on Computer Architecture, pp.64-75, 2004.

H. T. Mair, 4.3 A 20nm 2.5GHz ultra-low-power tri-cluster CPU subsystem with adaptive power allocation for optimal mobile SoC performance, 2016 IEEE International Solid-State Circuits Conference (ISSCC), pp.76-77
DOI : 10.1109/ISSCC.2016.7417914

URL : https://hal.archives-ouvertes.fr/hal-01316009

S. Padmanabha, A. Lukefahr, R. Das, and S. Mahlke, Mirage cores, Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture , MICRO-50 '17
DOI : 10.1109/ISCA.2012.6237019

S. Padmanabha, A. Lukefahr, R. Das, and S. Mahlke, DynaMOS, Proceedings of the 48th International Symposium on Microarchitecture, MICRO-48, p.48
DOI : 10.1109/MICRO.2000.898080

C. Villavieja, J. A. Joao, and R. Miftakhutdinov, Yoga: A Hybrid Dynamic VLIW/OoO Processor, 2014.

A. C. Beck, M. B. Rutzig, G. Gaydadjiev, and L. Carro, Transparent Reconfigurable Acceleration for Heterogeneous Embedded Applications, Proceedings of the Conference on Design, Automation and Test in Europe, pp.1208-1213

A. Brandon and S. Wong, Support for Dynamic Issue Width in VLIW Processors Using Generic Binaries, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.827-832
DOI : 10.7873/DATE.2013.175

M. A. Watkins, T. Nowatzki, and A. Carno, Software transparent dynamic binary translation for coarse-grain reconfigurable architectures, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp.138-150
DOI : 10.1109/HPCA.2016.7446060

A. Brandon, J. Hoozemans, J. Van-straten, and S. Wong, Exploring ILP and TLP on a Polymorphic VLIW Processor, 30th International Conference on Architecture of Computing Systems
DOI : 10.3850/9783981537079_0644

E. Ipek, M. Kirman, N. Kirman, and J. F. Martinez, Core Fusion: Accommodating Software Diversity in Chip Multiprocessors, Proceedings of the 34th Annual International Symposium on Computer Architecture, ISCA '07, pp.186-197

K. Sankaralingam, R. Nagarajan, H. Liu, C. Kim, J. Huh et al., Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications, ISCA '03, ACM. [17] 2007 IEEE 13th International Symposium on High Performance Computer Architecture, pp.25-36

T. Kotzmann, C. Wimmer, H. Mössenböck, T. Rodriguez, K. Russell et al., Design of the Java HotSpot TM Client Compiler for Java, pp.1-732

J. J. Gough and K. J. Gough, Compiling for the .NET Common Language Runtime

S. Hu and J. E. Smith, Reducing Startup Time in Co-Designed Virtual Machines, Proceedings of the 33rd Annual International Symposium on Computer Architecture, ISCA '06
DOI : 10.1145/1150019.1136510

E. Borin and Y. Wu, Characterization of DBT overhead, 2009 IEEE International Symposium on Workload Characterization (IISWC)
DOI : 10.1109/IISWC.2009.5306785

D. Nuzman, S. Dyshel, E. Rohou, I. Rosen, K. Williams et al., Vapor SIMD: Auto-vectorize once, run everywhere, International Symposium on Code Generation and Optimization (CGO 2011), pp.151-160
DOI : 10.1109/CGO.2011.5764683

URL : https://hal.archives-ouvertes.fr/inria-00589692

F. Bellard, QEMU, a Fast and Portable Dynamic Translator, ATEC '05, pp.41-41

K. Ebcio?-glu and E. R. Altman, DAISY: Dynamic Compilation for 100% Architectural Compatibility, ISCA '97

K. Ebcio?-glu, E. Altman, M. Gschwind, and S. Sathaye, Dynamic Binary Translation and Optimization, pp.529-548

D. Bruening, T. Garnett, and S. Amarasinghe, An infrastructure for adaptive dynamic optimization, International Symposium on Code Generation and Optimization, 2003. CGO 2003., pp.265-275, 2003.
DOI : 10.1109/CGO.2003.1191551

G. Agosta, S. Crespi-reghizzi, G. Falauto, and M. Sykora, JIST: Just- In-Time scheduling translation for parallel processors, pp.239-253

B. Dupont-de-dinechin, Inter-block Scoreboard Scheduling in a JIT Compiler for VLIW Processors, pp.370-381
DOI : 10.1007/978-3-540-85451-7_40

A. Carbon, Y. Lhuillier, and H. Charles, Hardware acceleration for Just-In-Time compilation on heterogeneous embedded systems, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors
DOI : 10.1109/ASAP.2013.6567576

URL : https://hal.archives-ouvertes.fr/cea-01839863

D. S. Mcfarlin, C. Tucker, and C. Zilles, Discerning the Dominant Out-of-order Performance Advantage: Is It Speculation or Dynamism?, ASPLOS '13, pp.241-252

S. Rokicki, E. Rohou, and S. Derrien, Supporting runtime reconfigurable VLIWs cores through dynamic binary translation, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018.
DOI : 10.23919/DATE.2018.8342160

URL : https://hal.archives-ouvertes.fr/hal-01653110

D. R. , Reflections on the High-Level Language Symbol Computer System, Computer, vol.14, issue.7, pp.55-66
DOI : 10.1109/C-M.1981.220530