A. Esper, G. Nelissen, V. Nelis, and E. Tovar, How realistic is the mixed-criticality real-time system model?, Proceedings of the 23rd International Conference on Real Time and Networks Systems, RTNS '15, pp.139-148, 2015.
DOI : 10.1109/RTSS.2007.47

URL : http://recipp.ipp.pt/bitstream/10400.22/6918/1/COM_CISTER_2015.pdf

S. Vestal, Preemptive Scheduling of Multi-criticality Systems with Varying Degrees of Execution Time Assurance, 28th IEEE International Real-Time Systems Symposium (RTSS 2007), pp.239-243, 2007.
DOI : 10.1109/RTSS.2007.47

D. Geer, Chip makers turn to multicore processors, Computer, vol.38, issue.5, pp.11-13, 2005.
DOI : 10.1109/MC.2005.160

J. Parkhurst, J. Darringer, and B. Grundmann, From single core to multi-core, Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design , ICCAD '06, pp.67-72, 2006.
DOI : 10.1145/1233501.1233516

M. A. Awan, P. M. Yomsi, G. Nelissen, and S. M. Petters, Energyaware task mapping onto heterogeneous platforms using dvfs and sleep states Journal of real-time systems, pp.1-36, 2015.

K. Lakshmanan, D. De-niz, R. Rajkumar, and G. Moreno, Resource Allocation in Distributed Mixed-Criticality Cyber-Physical Systems, 2010 IEEE 30th International Conference on Distributed Computing Systems, pp.169-178, 2010.
DOI : 10.1109/ICDCS.2010.91

URL : http://varma.ece.cmu.edu/SysWeaver/Allocation.pdf

D. Tamasselicean and P. Pop, Design optimization of mixedcriticality real-time applications on cost-constrained partitioned architectures, Proceedings of RTSS, pp.24-33, 2011.

O. Kelly, H. Aydin, and B. Zhao, On Partitioned Scheduling of Fixed-Priority Mixed-Criticality Task Sets, 2011IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications, pp.1051-1059, 2011.
DOI : 10.1109/TrustCom.2011.144

P. Rodriguez, L. George, Y. Abdedda¨?mabdedda¨?m, and J. Goossens, Multicriteria evaluation of partitioned edf-vd for mixed-criticality systems upon identical processors, Proceedings of WMC, 2013.

L. S. Broekaert, A. Fritsch, and S. Tverdyshev, Towards power-efficient mixed critical systems, OSPERT, vol.2013, pp.30-35, 2013.

V. Legout, M. Jan, and L. Pautet, Mixed-Criticality Multiprocessor Real-Time Systems: Energy Consumption vs Deadline Misses, Proceedings of ReTiMiCS, pp.1-6, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00922522

M. A. Awan and S. M. Petters, Race-to-halt energy saving strategies, Journal of Systems Architecture, vol.60, issue.10, pp.796-815, 2014.
DOI : 10.1016/j.sysarc.2014.10.001

URL : http://recipp.ipp.pt/bitstream/10400.22/5408/4/ART8_CISTER_2014.pdf

X. Zhang, J. Zhan, W. Jiang, Y. Ma, and K. Jiang, Design optimization of security-sensitive mixed-criticality real-time embedded systems, Proceedings of ReTiMiCS, 2013.

P. Huang, P. Kumar, G. Giannopoulou, and L. Thiele, Energy efficient dvfs scheduling for mixed-criticality systems Has energy surpassed timeliness? scheduling energy-constrained mixed-criticality systems, Proceedings of EMSOFT Proceedings of RTAS, pp.1-11, 2014.

G. G. Sujay-narayanayz, P. Huangy, and R. Prasad, Exploring energy saving for mixed-criticality systems on multicores, Proceedings of RTAS, pp.135-146, 2016.

A. Burns and R. Davis, Mixed criticality systems-a review, 2013.

D. C. Snowdon, E. L. Sueur, S. M. Petters, and G. Heiser, Koala, Proceedings of the fourth ACM european conference on Computer systems, EuroSys '09, pp.289-302, 2009.
DOI : 10.1145/1519065.1519097

P. Ekberg and W. Yi, Bounding and shaping the demand of generalized mixed-criticality sporadic task systems, Real-Time Systems, vol.26, issue.2, pp.48-86, 2014.
DOI : 10.1023/B:TIME.0000016129.97430.c6

F. Semiconductor, MPC8536E PowerQUICC III Integrated Processor Hardware Specifications, number: MPC8536EEC,Rev. 5, 09, 2011.

E. Bini and G. Buttazzo, Measuring the Performance of Schedulability Tests, Real-Time Systems, vol.20, issue.1, pp.129-154, 2009.
DOI : 10.1007/s11241-005-0507-9

R. Jain, The art of computer systems performance analysis techniques for experimental design, measurement, simulation, and modeling., ser, 1991.

A. Bastoni, B. Brandenburg, and J. Anderson, Cache-related preemption and migration delays: Empirical approximation and impact on schedulability, Proceedings of 6th annual workshop on Operating Systems Platforms for Embedded Real-Time applications, pp.33-44, 2010.

A. Burns and R. Davis, Adaptive Mixed Criticality Scheduling with Deferred Preemption, 2014 IEEE Real-Time Systems Symposium, pp.21-30, 2014.
DOI : 10.1109/RTSS.2014.12

URL : http://www-users.cs.york.ac.uk/%7Erobdavis/papers/AMC-NPR.pdf

M. A. Awan, K. Bletsas, P. F. Souto, and E. Tovar, Semipartitioned mixed-criticality scheduling with temporal isolation and deadline scaling, Under submission to ECRTS, 2016.