V. Venkatachalam and M. Franz, Power reduction techniques for microprocessor systems, ACM Computing Surveys, vol.37, issue.3, pp.195-237, 2005.
DOI : 10.1145/1108956.1108957

URL : http://www.ics.uci.edu/~vvenkata/finalpaper.pdf

K. J. Nowka, G. D. Carpenter, E. W. Macdonald, H. C. Ngo, B. C. Brock et al., A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling, IEEE Journal of Solid-State Circuits, vol.37, issue.11, pp.1441-1447, 2002.
DOI : 10.1109/JSSC.2002.803941

T. Kolpe, A. Zhai, and S. S. Sapatnekar, Enabling improved power management in multicore processors through clustered DVFS, 2011 Design, Automation & Test in Europe, pp.1-6, 2011.
DOI : 10.1109/DATE.2011.5763052

URL : http://www.ee.umn.edu/users/sachin/conf/date11tk.pdf

D. N. Truong, W. H. Cheng, T. Mohsenin, Z. Yu, A. T. Jacobson et al., A 167-Processor Computational Platform in 65 nm CMOS, IEEE Journal of Solid-State Circuits, vol.44, issue.4, pp.1130-1144, 2009.
DOI : 10.1109/JSSC.2009.2013772

S. Dighe, S. R. Vangal, P. A. Aseron, S. Kumar, T. Jacob et al., Within-Die Variation-Aware Dynamic-Voltage-Frequency-Scaling With Optimal Core Allocation and Thread Hopping for the 80-Core TeraFLOPS Processor, IEEE Journal of Solid-State Circuits, vol.46, issue.1, pp.184-193, 2010.
DOI : 10.1109/JSSC.2010.2080550

J. Park, D. Shin, N. Chang, and M. Pedram, Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors, Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, ISLPED '10, pp.419-424, 2010.
DOI : 10.1145/1840845.1840938

S. Miermont, P. Vivet, and M. Renaudin, A power supply selector for energyand area-efficient local dynamic voltage scaling, " in Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, pp.556-565, 2007.

E. Beigné, F. Clermidy, H. Lhermet, S. Miermont, Y. Thonnart et al., An Asynchronous Power Aware and Adaptive NoC Based Circuit, IEEE Journal of Solid-State Circuits, vol.44, issue.4, pp.1167-1177, 2009.
DOI : 10.1109/JSSC.2009.2014206

V. Peluso, A. Calimera, E. Macii, and M. Alioto, Ultra-Fine Grain Vdd-Hopping for energy-efficient Multi-Processor SoCs, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp.1-6, 2016.
DOI : 10.1109/VLSI-SoC.2016.7753580

D. Rossi, A. Pullini, I. Loi, M. Gautschi, F. K. Gürkaynak et al., A 60 GOPS/W, ???1.8V to 0.9V body bias ULP cluster in 28nm UTBB FD-SOI technology, Solid-State Electronics, vol.117, pp.170-184, 2016.
DOI : 10.1016/j.sse.2015.11.015

L. Bolzani, A. Calimera, A. Macii, E. Macii, and M. Poncino, Enabling concurrent clock and power gating in an industrial design flow, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.334-339, 2009.
DOI : 10.1109/DATE.2009.5090684

A. Calimera, A. Macii, E. Macii, and M. Poncino, Power-gating for leakage control and beyond, " in Circuit Design for Reliability, pp.175-205, 2015.

V. Tenace, S. Miryala, A. Calimera, A. Macii, E. Macii et al., Row-based body-bias assignment for dynamic thermal clock-skew compensation, Microelectronics Journal, vol.45, issue.5, pp.530-538, 2014.
DOI : 10.1016/j.mejo.2013.11.013

X. Liang, G. Y. Wei, and D. Brooks, ReVIVaL, ACM SIGARCH Computer Architecture News, vol.36, issue.3, pp.127-138, 2009.
DOI : 10.1145/1394608.1382138

M. S. Gupta, J. A. Rivers, P. Bose, G. Y. Wei, and D. Brooks, Tribeca, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, pp.435-446, 2009.
DOI : 10.1145/1669112.1669168

M. R. Kakoee and L. Benini, Fine-Grained Power and Body-Bias Control for Near-Threshold Deep Sub-Micron CMOS Circuits, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.1, issue.2, pp.131-140, 2011.
DOI : 10.1109/JETCAS.2011.2159285

Y. Nakamura, D. Levacq, L. Xiao, T. Minakawa, T. Niiyama et al., 1/5 power reduction by global optimization based on fine-grained body biasing, 2008 IEEE Custom Integrated Circuits Conference, pp.547-550, 2008.
DOI : 10.1109/CICC.2008.4672143

URL : http://icdesign.iis.u-tokyo.ac.jp/2008_23.pdf?origin%3Dpublication_detail

A. Muramatsu, T. Yasufuku, M. Nomura, M. Takamiya, H. Shinohara et al., 12% Power reduction by within-functional-block fine-grained adaptive dual supply voltage control in logic circuits with 42 voltage domains, 2011 Proceedings of the ESSCIRC (ESSCIRC), pp.191-194, 2011.
DOI : 10.1109/ESSCIRC.2011.6044897

T. Yasufuku, K. Hirairi, Y. Pu, Y. F. Zheng, R. Takahashi et al., 24% power reduction by post-fabrication dual supply voltage control of 64 voltage domains in vddmin limited ultra low voltage logic circuits, ISQED'12: International Symposium on Quality Electronic Design. IEEE, pp.586-591, 2012.

P. Babighian, L. Benini, A. Macii, and E. Macii, Post-layout leakage power minimization based on distributed sleep transistor insertion, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.138-143, 2004.
DOI : 10.1145/1013235.1013275

URL : http://www.cs.york.ac.uk/rts/docs/SIGDA-Compendium-1994-2004/papers/2004/islped04/pdffiles/p138.pdf

A. Calimera, A. Pullini, A. V. Sathanur, L. Benini, A. Macii et al., Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology, " in GLSVLSI'07: Great Lakes symposium on VLSI, pp.501-504, 2007.

D. Saha, A. Chatterjee, S. Chatterjee, and C. K. Sarkar, Assignment, for a Level Converter Free CSA Design and Its Near-Threshold Operation, Advances in Electrical Engineering, vol.2014, pp.1-6, 2014.
DOI : 10.1109/JETCAS.2011.2159285

URL : https://doi.org/10.1155/2014/814975

A. U. Diril, Y. S. Dhillon, A. Chatterjee, and A. D. Singh, Level-shifter free design of low power dual supply voltage cmos circuits using dual threshold voltages, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1103-1107, 2005.