B. M. Maggs, L. R. Matheson, and R. E. Tarjan, Models of parallel computation: a survey and synthesis, Proceedings of the Twenty-Eighth Hawaii International Conference on System Sciences, 1995.
DOI : 10.1109/HICSS.1995.375476

T. Grandpierre and Y. Sorel, From algorithm and architecture specifications to automatic generation of distributed real-time executives: a seamless flow of graphs transformations, First ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2003. MEMOCODE '03. Proceedings., 2003.
DOI : 10.1109/MEMCOD.2003.1210097

M. Masin and F. Palumbo, Cross-layer design of reconfigurable cyber-physical systems, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, 2017.
DOI : 10.23919/DATE.2017.7927088

A. Gerstlauer and C. Haubelt, Electronic System-Level Synthesis Methodologies, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.10, 2009.
DOI : 10.1109/TCAD.2009.2026356

URL : http://staff.science.uva.nl/~andy/artemis/tcad09.pdf

M. Pelcat and K. Desnos, Models of Architecture: Reproducible Efficiency Evaluation for Signal Processing Systems, 2016 IEEE International Workshop on Signal Processing Systems (SiPS), 2016.
DOI : 10.1109/SiPS.2016.29

URL : https://hal.archives-ouvertes.fr/hal-01390508

F. Baccelli and G. Cohen, Synchronization and linearity: an algebra for discrete event systems, 1992.

N. Bambha and V. Kianzad, Intermediate representations for design automation of multiprocessor DSP systems Design Automation for Embedded Systems, 2002.

B. Kienhuis and E. Deprettere, An approach for quantitative analysis of application-specific dataflow architectures, Proceedings IEEE International Conference on Application-Specific Systems, Architectures and Processors, 1997.
DOI : 10.1109/ASAP.1997.606839

J. Eker and J. W. Janneck, Taming heterogeneity - the Ptolemy approach, Proceedings of the IEEE, 2003.
DOI : 10.1109/JPROC.2002.805829

M. Pelcat and S. Aridhi, Physical Layer Multi-Core Prototyping: A Dataflow-Based Approach for LTE eNodeB, 2012.
DOI : 10.1007/978-1-4471-4210-2

URL : https://hal.archives-ouvertes.fr/hal-00739957

E. A. Lee and D. G. Messerschmitt, Synchronous data flow, Proceedings of the IEEE, 1987.
DOI : 10.1109/PROC.1987.13876

E. A. Lee and T. M. Parks, Dataflow process networks, Proceedings of the IEEE, 1995.
DOI : 10.1109/5.381846

URL : http://ptolemy.eecs.berkeley.edu/papers/95/processNets/proceedings.pdf

W. Plishker and N. Sane, Heterogeneous design in functional DIF, Proceedings of the SAMOS Workshop, 2008.
DOI : 10.1007/978-3-540-70550-5_18

URL : http://www.ece.umd.edu/DSPCAD/papers/plis2008x2.pdf

W. Plishker and N. Sane, Functional DIF for Rapid Prototyping, 2008 The 19th IEEE/IFIP International Symposium on Rapid System Prototyping, 2008.
DOI : 10.1109/RSP.2008.32

L. G. Valiant, A bridging model for parallel computation, Communications of the ACM, vol.33, issue.8, pp.103-111, 1990.
DOI : 10.1145/79173.79181

B. Kienhuis and E. F. Deprettere, A Methodology to Design Programmable Embedded Systems, Embedded processor design challenges, 2002.
DOI : 10.1007/3-540-45874-3_2

J. Ceng and W. Sheng, A high-level virtual platform for early MPSoC software development, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09, 2009.
DOI : 10.1145/1629435.1629438

P. H. Feiler, D. P. Gluch, and J. J. Hudak, The architecture analysis & design language (AADL): An introduction, CMU, Tech. Rep, 2006.
DOI : 10.21236/ADA455842

J. , C. Mazo, and R. Leupers, Programming Heterogeneous MP- SoCs, 2014.

V. Kianzad and S. S. Bhattacharyya, CHARMED: A multi-objective cosynthesis framework for multi-mode embedded systems, Proceedings of the ASAP Conference, 2004.
DOI : 10.1109/asap.2004.1342456

T. Grandpierre and Y. Sorel, Un nouveau modèle générique d'architecture hétérogène pour la méthodologie AAA, JFAAA, 2002.

E. Raffin and C. Wolinski, Scheduling, binding and routing system for a run-time reconfigurable operator based multimedia architecture, Proceedings of the DASIP Conference, 2010.
DOI : 10.1109/dasip.2010.5706261

URL : https://hal.archives-ouvertes.fr/hal-00663458

M. Pelcat and J. Nezan, A system-level architecture model for rapid prototyping of heterogeneous multicore embedded systems, Proceedings of the DASIP conference, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00429397

A. Donlin, Transaction level modeling, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, 2004.
DOI : 10.1145/1016720.1016742

R. C. Aster, B. Borchers, and C. H. Thurber, Parameter estimation and inverse problems, 2011.

D. C. Montgomery, E. A. Peck, and G. G. Vining, Introduction to linear regression analysis, 2015.

A. D. Pimentel, Exploring Exploration: A Tutorial Introduction to Embedded Systems Design Space Exploration, IEEE Design & Test, vol.34, issue.1, 2017.
DOI : 10.1109/MDAT.2016.2626445

M. Pelcat and K. Desnos, Preesm: A dataflow-based rapid prototyping framework for simplifying multicore DSP programming, 2014 6th European Embedded Design in Education and Research Conference (EDERC), 2014.
DOI : 10.1109/EDERC.2014.6924354

URL : https://hal.archives-ouvertes.fr/hal-01059313

K. Desnos and M. Pelcat, PiMM: Parameterized and Interfaced dataflow Meta-Model for MPSoCs runtime reconfiguration, 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), 2013.
DOI : 10.1109/SAMOS.2013.6621104

URL : https://hal.archives-ouvertes.fr/hal-00877492

Y. Kwok, High-performance algorithms for compile-time scheduling of parallel processors, 1997.

A. Mercat and J. Nezan, Implementation of a Stereo Matching algorithm onto a Manycore Embedded System, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), 2014.
DOI : 10.1109/ISCAS.2014.6865380

K. Desnos and J. Zhang, PREESM project -stereo matching svn://svn .code.sf, 2013.

N. K. Bambha and S. S. Bhattacharyya, A joint power/performance optimization algorithm for multiprocessor systems using a period graph construct, Proceedings of the 13th international symposium on System synthesis, 2000.
DOI : 10.1109/isss.2000.874034

URL : http://www.ece.umd.edu/DSPCAD/papers/bamb2000x1.pdf