R. I. Altmeyer, L. Davis, C. Indrusiak, V. Maiza, J. Nelis et al., A generic and compositional framework for multicore response time analysis execution of automotive applications on a clustered many-core platform, International Conference on Real Time and Networks Systems, RTNS '15 28th Euromicro Conference on Real-Time Systems, ECRTS, pp.129-138, 2015.

M. Calandrino and J. H. Anderson, On the Design and Implementation of a Cache-Aware Multicore Real-Time Scheduler, 2009 21st Euromicro Conference on Real-Time Systems, pp.194-204, 2009.
DOI : 10.1109/ECRTS.2009.13

M. Carle, D. Djemal, R. Potop-butucaru, Z. De-simone, and . Zhang, Static Mapping of Real-Time Applications onto Massively Parallel Processor Arrays, 2014 14th International Conference on Application of Concurrency to System Design, pp.112-121, 2014.
DOI : 10.1109/ACSD.2014.19

URL : https://hal.archives-ouvertes.fr/hal-01095130

A. Chattopadhyay, T. Roychoudhury, and . Mitra, Modeling shared cache and bus in multi-cores for timing analysis, Proceedings of the 13th International Workshop on Software & Compilers for Embedded Systems, SCOPES '10, pp.1-610, 2010.
DOI : 10.1145/1811212.1811220

I. Davis and A. Burns, A survey of hard real-time scheduling for multiprocessor systems, ACM Computing Surveys, vol.43, issue.4, pp.1-3544, 2011.
DOI : 10.1145/1978802.1978814

D. De-dinechin, D. Van-amstel, M. Poulhiès, and G. Lager, Time-critical computing on a single-chip massively parallel processor, Proceedings of the Conference on Design, pp.971-97, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01090449

Y. Ding, T. Liang, and . Mitra, Shared cache aware task mapping for WCRT minimization, 8th Asia and South Pacific Design Automation Conference, ASP-DAC, pp.735-740, 2013.

J. Fernandez, E. Abella, C. Quiñones, T. Rochange, F. J. Vardanega et al., Contention in multicore hardware shared resources: Understanding of the state of the art, 14th International Workshop on Worst-Case Execution Time Analysis, OpenAccess Series in Informatics (OASIcs), pp.31-42, 2014.

M. Guan, W. Stigge, G. Yi, and . Yu, Cache-aware scheduling and analysis for multicores, Proceedings of the seventh ACM international conference on Embedded software, EMSOFT '09, pp.245-254, 2009.
DOI : 10.1145/1629335.1629369

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.154.9358

T. Hardy, I. Piquet, and . Puaut, Using bypass to tighten WCET estimates for multicore processors with shared instruction caches, Proceedings of the 30th IEEE Real-Time Systems Symposium, RTSS, pp.68-77, 2009.
URL : https://hal.archives-ouvertes.fr/inria-00380298

S. Kasahara and . Narita, Practical multiprocessor scheduling algorithms for efficient parallel processing, IEEE Trans. Comput, vol.33, issue.11, pp.1023-1029, 1984.
DOI : 10.1109/tc.1984.1676376

H. Kelter, P. Falk, S. Marwedel, A. Chattopadhyay, and . Roychoudhury, Static analysis of multi-core tdma resource arbitration delays. Real-Time Syst Benchmarking and comparison of the task graph scheduling algorithms, In Journal of Parallel and Distributed Computing, vol.50, issue.59, pp.185-229, 1999.

-. Kwok and I. Ahmad, Static scheduling algorithms for allocating directed task graphs to multiprocessors, ACM Computing Surveys, vol.31, issue.4, pp.406-471, 1999.
DOI : 10.1145/344588.344618

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.23.3862

H. Liang, T. Ding, A. Mitra, Y. Roychoudhury, V. Li et al., Timing analysis of concurrent programs running on shared cache multi-cores. Real-time Systems, pp.638-680, 2012.

P. M. Nélis, L. M. Yomsi, and . Pinho, The variability of application execution times on a multi-core platform, 16th International Workshop on Worst-Case Execution Time Analysis OpenAccess Series in Informatics (OASIcs), pp.1-11, 2016.

P. M. Nélis, L. M. Yomsi, J. C. Pinho, M. Fonseca, E. Bertogna et al., The challenge of time-predictability in modern many-core architectures

H. Nemer, P. Cassé, A. Sainrat, and . Awada, Improving the Worst-Case Execution Time Accuracy by Inter-Task Instruction Cache Analysis, 2007 International Symposium on Industrial Embedded Systems, pp.25-32, 2007.
DOI : 10.1109/SIES.2007.4297313

E. Pellizzoni, S. Betti, G. Bak, J. Yao, M. Criswell et al., A Predictable Execution Model for COTS-Based Embedded Systems, 2011 17th IEEE Real-Time and Embedded Technology and Applications Symposium, pp.269-279, 2011.
DOI : 10.1109/RTAS.2011.33

URL : http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.308.7709&rep=rep1&type=pdf

P. Perret, E. Maurère, C. Noulard, P. Pagetti, B. Sainrat et al., Mapping hard real-time applications on many-core processors, Proceedings of the 24th International Conference on Real-Time Networks and Systems, RTNS '16, pp.235-244, 2016.
DOI : 10.1109/SIES.2012.6356583

P. Perret, E. Maurère, C. Noulard, P. Pagetti, B. Sainrat et al., Temporal Isolation of Hard Real-Time Applications on Many-Core Processors, 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), pp.37-47, 2016.
DOI : 10.1109/RTAS.2016.7461363

URL : https://hal.archives-ouvertes.fr/hal-01585055

P. Phavorin, J. Richard, T. Goossens, C. Chapeaux, and . Maiza, Scheduling with preemption delays, Proceedings of the 23rd International Conference on Real Time and Networks Systems, RTNS '15, pp.109-118, 2015.
DOI : 10.1109/REAL.2003.1253263

I. Potop-butucaru and . Puaut, Integrated worst-case execution time estimation of multicore applications, 13th International Workshop on Worst-Case Execution Time Analysis, pp.21-31, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00909330

E. Puffitsch, C. Noulard, and . Pagetti, Off-line mapping of multi-rate dependent task sets to many-core platforms. Real-Time Systems, pp.526-565, 2015.

M. Rihani, C. Moy, R. I. Maiza, S. Davis, and . Altmeyer, Response Time Analysis of Synchronous Data Flow Programs on a Many-Core Processor, Proceedings of the 24th International Conference on Real-Time Networks and Systems, RTNS '16, pp.67-76, 2016.
DOI : 10.1109/RTAS.2016.7461361

URL : https://hal.archives-ouvertes.fr/hal-01406145

C. Suhendra, T. Raghavan, and . Mitra, Integrated scratchpad memory optimization and task scheduling for MPSoC architectures, Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems , CASES '06, pp.401-410, 2006.
DOI : 10.1145/1176760.1176809

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.415.6223

P. Tendulkar, I. Poplavko, O. Galanommatis, and . Maler, Many-Core Scheduling of Data Parallel Applications Using SMT Solvers, 2014 17th Euromicro Conference on Digital System Design, pp.615-622, 2014.
DOI : 10.1109/DSD.2014.10

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.681.3855

N. Tessler and . Fisher, BUNDLE: Real-Time Multi-threaded Scheduling to Reduce Cache Contention, 2016 IEEE Real-Time Systems Symposium (RTSS), pp.279-290, 2016.
DOI : 10.1109/RTSS.2016.035

S. Thies and . Amarasinghe, An empirical characterization of stream programs and its implications for language and compiler design, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, PACT '10, pp.365-376, 2010.
DOI : 10.1145/1854273.1854319

C. Ward, A. Thekkilakattil, and J. H. Anderson, Optimizing Preemption-Overhead Accounting in Multiprocessor Real-Time Systems, Proceedings of the 22nd International Conference on Real-Time Networks and Systems, RTNS '14, pp.235235-235243, 2014.
DOI : 10.1145/2659787.2659811

J. Wilhelm, A. Engblom, N. Ermedahl, S. Holsti, D. Thesing et al., The worst-case execution-time problem???overview of methods and survey of tools, ACM Transactions on Embedded Computing Systems, vol.7, issue.3, pp.1-36, 2008.
DOI : 10.1145/1347375.1347389

R. Yao, S. Pellizzoni, E. Bak, M. Betti, and . Caccamo, Memory-centric scheduling for multicore hard real-time systems, Real-Time Systems, vol.29, issue.5, pp.681-715, 2012.
DOI : 10.1109/RTAS.2010.24