S. Moore and S. Chong, Static analysis for efficient hybrid informationflow control, 2011 IEEE 24th Computer Security Foundations Symposium, pp.146-160, 2011.
DOI : 10.1109/csf.2011.17

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.229.1581

H. Kannan, M. Dalton, and C. Kozyrakis, Decoupling Dynamic Information Flow Tracking with a dedicated coprocessor, 2009 IEEE/IFIP International Conference on Dependable Systems & Networks, 2009.
DOI : 10.1109/DSN.2009.5270347

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.329.4396

D. Y. Deng, D. Lo, G. Malysa, S. Schneider, and G. E. Suh, Flexible and Efficient Instruction-Grained Run-Time Monitoring Using On-Chip Reconfigurable Fabric, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010.
DOI : 10.1109/MICRO.2010.17

D. Y. Deng and G. E. Suh, High-performance parallel accelerator for flexible and efficient run-time monitoring, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012), pp.1-12, 2012.
DOI : 10.1109/DSN.2012.6263925

I. Heo, M. Kim, Y. Lee, C. Choi, J. Lee et al., Implementing an Application-Specific Instruction-Set Processor for System-Level Dynamic Program Analysis Engines, ACM Transactions on Design Automation of Electronic Systems, vol.20, issue.4, pp.531-5332, 2015.
DOI : 10.1109/HPCA.2007.346191

L. Davi, M. Hanreich, D. Paul, A. R. Sadeghi, P. Koeberl et al., HAFIX, Proceedings of the 52nd Annual Design Automation Conference on, DAC '15
DOI : 10.1145/1315245.1315313

J. Lee, I. Heo, Y. Lee, and Y. Paek, Efficient Security Monitoring with the Core Debug Interface in an Embedded Processor, ACM Transactions on Design Automation of Electronic Systems, vol.22, issue.1, pp.1-829, 2016.
DOI : 10.1145/1028176.1006720

J. Newsome and D. Song, Dynamic taint analysis for automatic detection, analysis, and signature generation of exploits on commodity software, 2005.

L. C. Lam and T. C. Chiueh, A General Dynamic Information Flow Tracking Framework for Security Applications, 2006 22nd Annual Computer Security Applications Conference (ACSAC'06), 2006.
DOI : 10.1109/ACSAC.2006.6

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.74.1478

A. Birgisson, D. Hedin, and A. Sabelfeld, Boosting the Permissiveness of Dynamic Information-Flow Tracking by Testing, pp.55-72
DOI : 10.1007/978-3-642-33167-1_4

S. Fytraki, E. Vlachos, Y. O. Koçberber, B. Falsafi, and B. Grot, FADE: A programmable filtering accelerator for instruction-grain monitoring, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA)
DOI : 10.1109/HPCA.2014.6835922

URL : https://infoscience.epfl.ch/record/195651/files/fade_hpca14.pdf

S. Chen, M. Kozuch, T. Strigkos, B. Falsafi, P. B. Gibbons et al., Flexible hardware acceleration for instruction-grain program monitoring, 2008.
DOI : 10.1109/isca.2008.20

URL : https://infoscience.epfl.ch/record/135600/files/lba_isca08.pdf

M. Dalton, H. Kannan, and C. Kozyrakis, Raksha, ACM SIGARCH Computer Architecture News, vol.35, issue.2, pp.482-493, 2007.
DOI : 10.1145/1273440.1250722

G. Venkataramani, I. Doudalis, Y. Solihin, and M. Prvulovic, FlexiTaint: A programmable accelerator for dynamic taint propagation, 2008 IEEE 14th International Symposium on High Performance Computer Architecture, pp.173-184, 2008.
DOI : 10.1109/HPCA.2008.4658637

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.127.6179

U. Dhawan, C. Hritcu, R. Rubin, N. Vasilakis, S. Chiricescu et al., Architectural support for software-defined metadata processing
DOI : 10.1145/2694344.2694383

URL : https://hal.archives-ouvertes.fr/hal-01102378

V. Nagarajan, H. Kim, Y. Wu, and R. Gupta, Dynamic information flow tracking on multicores, Workshop on Interaction between Compilers and Computer Architectures, 2008.

K. Jee, V. P. Kemerlis, A. D. Keromytis, and G. Portokalidis, ShadowReplica, Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security, CCS '13, pp.235-246, 2013.
DOI : 10.1145/2508859.2516704

M. Wahab, P. Cotret, M. Nasr-allah, G. Hiet, V. Lapotre et al., Towards a hardware-assisted information flow tracking ecosystem for ARM processors Available: https, FPL 2016, 2016.
DOI : 10.1109/fpl.2016.7577396

URL : https://hal.archives-ouvertes.fr/hal-01337579/document

L. George, Blare Tools: A Policy-Based Intrusion Detection System Automatically Set by the Security Policy, 2009.
DOI : 10.1007/978-3-642-04342-0_22

URL : https://hal.archives-ouvertes.fr/hal-00420117

A. Vergé and T. , Hardware-assisted software tracing [24] SafeG dual-OS monitor, Embedded Linux Conference (ELC), 2014.

O. Svanfeldt-winter, L. Sébastien, and L. Johan, Evaluation of the energy efficiency of arm based processors for cloud infrastructure, Turku Centre for Computer Science