J. H. Pan, T. Mitra, and W. Wong, Configuration bitstream compression for dynamically reconfigurable FPGAs, Computer Aided Design, pp.766-773, 2004.

T. Drahonovsky, M. Rozkovec, and O. Novak, Relocation of reconfigurable modules on Xilinx FPGA, 2013 IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), pp.175-180, 2013.
DOI : 10.1109/DDECS.2013.6549812

H. Kalte, G. Lee, M. Porrmann, and U. Ruckert, REPLICA: A Bitstream Manipulation Filter for Module Relocation in Partial Reconfigurable Systems, 19th IEEE International Parallel and Distributed Processing Symposium, pp.151-151, 2005.
DOI : 10.1109/IPDPS.2005.380

H. Kalte and M. Porrmann, REPLICA2Pro, Proceedings of the 3rd conference on Computing frontiers , CF '06, pp.403-412, 2006.
DOI : 10.1145/1128022.1128045

C. Beckhoff, D. Koch, and J. Torresen, Go Ahead: A Partial Reconfiguration Framework, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines, pp.37-44, 2012.
DOI : 10.1109/FCCM.2012.17

T. Becker, W. Luk, and P. Cheung, Enhancing Relocatability of Partial Bitstreams for Run-Time Reconfiguration, 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2007), pp.35-44, 2007.
DOI : 10.1109/FCCM.2007.51

L. Singhal and E. Bozorgzadeh, Multi-layer Floorplanning on a Sequence of Reconfigurable Designs, 2006 International Conference on Field Programmable Logic and Applications, pp.1-8, 2006.
DOI : 10.1109/FPL.2006.311273

A. Smith, P. Constantinides, and . Cheung, Integrated floorplanning, module-selection, and architecture generation for reconfigurable devices Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.16, issue.6, pp.733-744, 2008.

A. Montone, M. D. Santambrogio, D. Sciuto, and S. O. Memik, Placement and Floorplanning in Dynamically Reconfigurable FPGAs, ACM Transactions on Reconfigurable Technology and Systems, vol.3, issue.4, pp.1-24, 2010.
DOI : 10.1145/1862648.1862654

C. Bolchini, A. Miele, and C. Sandionigi, Automated Resource-Aware Floorplanning of Reconfigurable Areas in Partially-Reconfigurable FPGA Systems, 2011 21st International Conference on Field Programmable Logic and Applications, pp.532-538, 2011.
DOI : 10.1109/FPL.2011.104

K. Vipin and S. Fahmy, Architecture-Aware Reconfiguration-Centric Floorplanning for Partial Reconfiguration, Lecture Notes in Computer Science, vol.1, issue.4, pp.13-25
DOI : 10.1049/iet-cdt:20070012

T. D. Nguyen and A. Kumar, PRFloor, Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA '16, pp.149-158, 2016.
DOI : 10.1145/2847263.2847270

T. Becker, M. Koester, and W. Luk, Automated placement of reconfigurable regions for relocatable modules, Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pp.3341-3344, 2010.
DOI : 10.1109/ISCAS.2010.5537896

R. Backasch, G. Hempel, S. Werner, S. Groppe, and T. Pionteck, Identifying homogenous reconfigurable regions in heterogeneous FPGAs for module relocation, 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig14), pp.1-6, 2014.
DOI : 10.1109/ReConFig.2014.7032533

N. Steiner, A. Wood, H. Shojaei, J. Couch, P. Athanas et al., Torc, Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays, FPGA '11, pp.41-44, 2011.
DOI : 10.1145/1950413.1950425

O. B. Thomas and W. Luk, Available: http://opencores.org/project,fixed-point-sqrt [22 High quality uniform random number generation using lut optimised state-transition matrices, The Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, vol.21, issue.47 1, pp.77-92, 2007.