A Concurrent Transmitter in CMOS 28nm FDSOI Technology based on Walsh sequences Generator - Archive ouverte HAL Accéder directement au contenu
Communication Dans Un Congrès Année : 2016

A Concurrent Transmitter in CMOS 28nm FDSOI Technology based on Walsh sequences Generator

Résumé

This paper presents a very promising architecture that is suited for a Full Software Radio (FSR) transmitter. The core system is made of two blocks: one generates a set of square sequences and is named Walsh Sequences Synthesizer, while the other, the Walsh digital-to-analog converter (DAC), performs the conversion, by summing and dynamically weighing the sequences with a reduced set of coefficients. The resulting piecewise linear signal, corresponding to the Walsh series of the wanted signal, is summed as current steps into a differential load. System and circuit simulations have been carried out and demonstrate that the generation of concurrent modulated signal is possible with a rejection of 40 dBr over 10GHz bandwidth. With only moderate hardware complexity, it provides promising performances to pave the way to the implementation of a multi-carrier, multistandard radio frequency transmitter.
Fichier non déposé

Dates et versions

hal-01377970 , version 1 (08-10-2016)

Identifiants

  • HAL Id : hal-01377970 , version 1

Citer

Nassim Bouassida, Francois Rivet, Yann Deval, David Duperray, Andreia Cathelin. A Concurrent Transmitter in CMOS 28nm FDSOI Technology based on Walsh sequences Generator. IEEE International NEW Circuits And Systems (NEWCAS), Jun 2016, Vancouver, Canada. ⟨hal-01377970⟩
66 Consultations
0 Téléchargements

Partager

Gmail Facebook X LinkedIn More