J. M. Rabaey, Scaling the power wall: Revisiting the low-power design rules, Keynote speech at SoC, vol.7, 2007.

G. , D. Micheli, and L. Benini, Networks on chips: technology and tools, 2006.

G. Kurian, J. E. Miller, J. Psota, J. Eastep, J. Liu et al., ATAC, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, PACT '10, pp.477-488, 2010.
DOI : 10.1145/1854273.1854332

C. Ramey, TILE-Gx100 ManyCore processor: Acceleration interfaces and architecture, 2011 IEEE Hot Chips 23 Symposium (HCS), 2011.
DOI : 10.1109/HOTCHIPS.2011.7477491

J. Howard, S. Dighe, Y. Hoskote, S. Vangal, D. Finan et al., A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS, 2010 IEEE International Solid-State Circuits Conference, (ISSCC), pp.108-109, 2010.
DOI : 10.1109/ISSCC.2010.5434077

M. S. Papamarcos and J. H. Patel, A low-overhead coherence solution for multiprocessors with private cache memories, ACM SIGARCH Computer Architecture News, vol.12, issue.3, pp.348-354, 1984.
DOI : 10.1145/773453.808204

P. Conway, N. Kalyanasundharam, G. Donley, K. Lepak, and B. Hughes, Cache Hierarchy and Memory Subsystem of the AMD Opteron Processor, IEEE Micro, vol.30, issue.2, pp.16-29, 2010.
DOI : 10.1109/MM.2010.31

S. R. Garea and T. Hoefler, Modelling communications in cache coherent systems, 2013.

M. Loghi, M. Poncino, and L. Benini, Cache coherence tradeoffs in shared-memory MPSoCs, ACM Transactions on Embedded Computing Systems, vol.5, issue.2, pp.383-407, 2006.
DOI : 10.1145/1151074.1151081

URL : https://hal.archives-ouvertes.fr/hal-00181562

X. Zhou, H. Chen, S. Luo, Y. Gao, S. Yan et al., A case for software managed coherence in manycore processors, Poster on 2nd USENIX Workshop on Hot Topics in Parallelism HotPar10, 2010.

M. M. Martin, M. D. Hill, and D. J. Sorin, Why on-chip cache coherence is here to stay, Communications of the ACM, vol.55, issue.7, pp.78-89, 2012.
DOI : 10.1145/2209249.2209269

P. Guironnet-de-massas and F. Pétrot, Comparison of memory write policies for noc based multicore cache coherent systems, Design, Automation and Test in Europe (DATE, pp.997-1002, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00288347

M. M. Martin, M. D. Hill, and D. A. Wood, Token coherence: decoupling performance and correctness, Computer Architecture, 2003. Proceedings. 30th Annual International Symposium on. IEEE, pp.182-193, 2003.

M. R. Marty, J. D. Bingham, M. D. Hill, A. J. Hu, M. M. Martin et al., Improving Multiple-CMP Systems Using Token Coherence, 11th International Symposium on High-Performance Computer Architecture, pp.328-339, 2005.
DOI : 10.1109/HPCA.2005.17

A. Ros, M. E. Acacio, and J. M. García, A direct coherence protocol for many-core chip multiprocessors Parallel and Distributed Systems, IEEE Transactions on, vol.21, issue.12, pp.1779-1792, 2010.

A. Ros, M. E. Acacio, and J. M. Garc?a, Cache Coherence Protocols for Many-Core CMPs, Parallel and Distributed Computing, 2010.
DOI : 10.5772/9454

P. Sassone, C. Koob, D. Vantrease, S. Venkumahanti, and L. Codrescu, Hybrid write-through/write-back cache policy managers, and related systems and methods, 2013.

B. A. Cuesta, A. Ros, M. E. Gómez, A. Robles, and J. F. Duato, Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks, ACM SIGARCH Computer Architecture News, pp.93-104, 2011.

S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, The SPLASH-2 programs: Characterization and methodological considerations, Proceedings of the 22nd Annual International Symposium on Computer Architecture, pp.24-37, 1995.
DOI : 10.1109/isca.1995.524546

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.104.6469

C. Ranger, R. Raghuraman, A. Penmetsa, G. Bradski, and C. Kozyrakis, Evaluating MapReduce for Multi-core and Multiprocessor Systems, 2007 IEEE 13th International Symposium on High Performance Computer Architecture, pp.13-24, 2007.
DOI : 10.1109/HPCA.2007.346181