M. Grant, Overview of the MPSoC design challenge, Proceedings of the 43 rd annual Design Automation Conference, 2006.

Z. Zong, EAD and PEBD: Two Energy-Aware Duplication Scheduling Algorithms for Parallel Tasks on Homogeneous Clusters, IEEE Transactions on Computers, vol.60, issue.3, pp.360-374, 2011.
DOI : 10.1109/TC.2010.216

. Unified-power and . Format, IEEE standard for design and verification of low power integrated circuits, [6] Si2 Common Power Format Specification (CPF 2.1), p.811, 2009.

F. Mischkalla and W. Mueller, Architectural low-power design using transaction-based system modeling and simulation, 2014 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV), pp.258-265, 2014.
DOI : 10.1109/SAMOS.2014.6893219

T. Arpinen, E. Salminen, T. D. Hämäläinen, and M. Hännikäinen, MARTE profile extension for modeling dynamic power management of embedded systems, Journal of Systems Architecture, vol.58, issue.5, pp.209-219, 2012.
DOI : 10.1016/j.sysarc.2011.01.003

M. Hagner, A. Aniculaesei, and U. Goltz, UML-Based Analysis of Power Consumption for Real-Time Embedded Systems, 2011IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications, p.11961201, 2011.
DOI : 10.1109/TrustCom.2011.161

R. Peset-lopis and K. Goossens, The petrol approach to high-level power estimation, Proceedings of the ISLPED, 1998.

W. Ye, N. Vijaykrishnan, M. Kandemir, and M. Irwin, The design and use of simplepower, Proceedings of the 37th conference on Design automation , DAC '00, pp.340-345, 2000.
DOI : 10.1145/337292.337436

D. Brooks, V. Tiwari, and M. Martonosi, Wattch : A framework for architectural-level power analysis and optimizations, 2000.

R. B. Atitallah, E. Piel, S. Niar, P. Marquet, and J. Dekeyser, Multilevel MPSOC simulation using an MDE approach, 2007 IEEE International SOC Conference, pp.197-200, 2007.
DOI : 10.1109/SOCC.2007.4545457

C. Trabelsi, R. B. Atitallah, S. Meftali, J. Dekeyser, and A. Jemai, A Model-Driven Approach for Hybrid Power Estimation in Embedded Systems Design, EURASIP Journal on Embedded Systems, vol.6, issue.4, 2011.
DOI : 10.1109/MS.2003.1231150

URL : https://hal.archives-ouvertes.fr/hal-00784427

S. Rethinagiri, O. Palomar, O. Unsal, A. Cristal, R. B. Atitallah et al., Pets: Power and energy estimation tool at system-level Power and Energy Estimations in Model-Based Design, IEEE 15 th International Symposium on Quality Electronic Design, ISQED Languages for Embedded Systems and Their Applications, pp.535-542, 2009.

F. B. Abdallah, C. Trabelsi, R. B. Atitallah, and M. Abed, Early power-aware Design Space Exploration for embedded systems, p.2

M. Ammar, M. Baklouti, M. Pelcat, K. Desnos, and M. Abid, MARTE to ?SDF transformation for data-intensive applications analysis, Conference on Design & Architectures for Signal & Image Processing, DASIP, 2014.

M. Ammar, M. Baklouti, M. Pelcat, K. Desnos, and M. Abid, Automatic Generation of S-LAM Descriptions from UML/MARTE for the DSE of Massively Parallel Embedded Systems, Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, pp.195-211, 2015.
DOI : 10.1007/978-3-319-23509-7_14

URL : https://hal.archives-ouvertes.fr/hal-01252511

E. Lee and D. Messerschmitt, Synchronous data flow, Proceedings of the IEEE, vol.75, issue.9, pp.1235-1245, 1987.
DOI : 10.1109/PROC.1987.13876

K. Desnos, M. Pelcat, J. F. Nezan, S. Bhattacharyya, and S. Aridh, PiMM: Parameterized and Interfaced dataflow Meta-Model for MPSoCs runtime reconfiguration, 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), 2013.
DOI : 10.1109/SAMOS.2013.6621104

URL : https://hal.archives-ouvertes.fr/hal-00877492

I. Standard and . Ip-xact, Standard Structure for Packaging, Integrating , and Reusing IP within Tools Flows, IEEE Std, pp.1-360, 1685.

M. Pelcat, J. F. Nezan, J. Piat, J. Croizer, and S. Aridhi, A systemlevel architecture model for rapid prototyping of heterogeneous multicore embedded systems, Conference on Design & Architectures for Signal & Image Processing, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00429397

. Aridhi, Preesm: A dataflow-based rapid prototyping framework for simplifying multicore DSP programming, 6 th European Embedded Design in Education and Research Conference, pp.36-40, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01059313

B. D. De-dinechin, A clustered manycore processor architecture for embedded and accelerated applications, 2013 IEEE High Performance Extreme Computing Conference (HPEC), p.2013
DOI : 10.1109/HPEC.2013.6670342

M. Etinski, Understanding the future of energy-performance trade-off via DVFS in HPC environments, Journal of Parallel and Distributed Computing, vol.72, issue.4, pp.579-590, 2012.
DOI : 10.1016/j.jpdc.2012.01.006

E. , L. Sueur, and G. Heiser, Dynamic voltage and frequency scaling: The laws of diminishing returns, Proceedings of the 2010 international conference on Power aware computing and systems, USENIX Association, 2010.

G. L. Valentini, W. Lassonde, and S. U. Khan, An overview of energy efficiency techniques in cluster computing systems, Cluster Computing, vol.36, issue.11, pp.3-15, 2013.
DOI : 10.1007/s10586-011-0171-x

L. Wang, S. U. Khan, and D. Chen, Energy-aware parallel task scheduling in a cluster, Future Generation Computer Systems, vol.29, issue.7, pp.1661-1670, 2013.
DOI : 10.1016/j.future.2013.02.010

H. Kasahara and N. Seinosuke, Practical multiprocessor scheduling algorithms for efficient parallel processing, IEEE Transactions on Computers, issue.11, pp.1023-1029, 1984.

K. Rijkse, H.263: video coding for low-bit-rate communication, IEEE Communications Magazine, vol.34, issue.12, pp.42-45, 1996.
DOI : 10.1109/35.556485

S. Stuijk, M. Geilen, and T. Basten, SDF3: SDF For Free, Proceeding Application of Concurrency to System Design, p.276278, 2006.

M. Baklouti, P. Marquet, J. Dekeyser, and M. Abid, FPGA-based many-core System-on-Chip design, Microprocessors and Microsystems, 2015.
DOI : 10.1016/j.micpro.2015.03.007

URL : https://hal.archives-ouvertes.fr/hal-01144977

S. Segars, ARM7TDMI power consumption, IEEE Micro, vol.17, issue.4, pp.12-19, 1997.
DOI : 10.1109/40.612178