D. Jiménez and C. Lin, Dynamic branch prediction with perceptrons, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, 2001.
DOI : 10.1109/HPCA.2001.903263

D. Jimenez, Fast path-based neural branch prediction, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003.
DOI : 10.1109/MICRO.2003.1253199

D. Jiménez, Piecewise linear branch prediction, Proceedings of the 32nd Annual International Symposium on Computer Architecture, 2005.

D. Tarjan and K. Skadron, Merging path and gshare indexing in perceptron branch prediction, ACM Transactions on Architecture and Code Optimization, vol.2, issue.3, pp.280-300, 2005.
DOI : 10.1145/1089008.1089011

R. S. Amant, D. A. Jiménez, and D. Burger, Low-power, high-performance analog neural branch prediction, MICRO, pp.447-458, 2008.

Y. Ishii, Fused two-level branch prediction with ahead calculation, Journal of Instruction Level Parallelism, 2007.

Y. Ishii, K. Kuroyanagi, T. Sawada, M. Inaba, and K. Hiraki, Revisiting local history for improving fused two-level branch predictor, Proceedings of the 3rd Championship on Branch Prediction, 2011.

A. Seznec, Analysis of the O-GEHL branch predictor, Proceedings of the 32nd Annual International Symposium on Computer Architecture, 2005.

A. Seznec and P. Michaud, A case for (partially)-tagged geometric history length predictors, Journal of Instruction Level Parallelism, 2006.

A. Seznec, A new case for the TAGE branch predictor, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pp.117-127, 2011.
DOI : 10.1145/2155620.2155635

URL : https://hal.archives-ouvertes.fr/hal-00639193

A. Seznec, Tage-sc-l branch predictors, Proceedings of the 4th Championship on Branch Prediction, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01086920

T. Yeh and Y. Patt, Two-level adaptive training branch prediction, Proceedings of the 24th annual international symposium on Microarchitecture , MICRO 24, 1991.
DOI : 10.1145/123465.123475

M. Evers, S. Patel, R. Chappell, and Y. Patt, An analysis of correlation and predictability, Proceedings of the 25nd Annual International Symposium on Computer Architecture, 1998.
DOI : 10.1145/279361.279368

D. Morris, M. Poplingher, T. Yeh, M. Corwin, and W. Chen, Method and apparatus for predicting loop exit branches, 2002.

T. Sherwood and B. Calder, Loop Termination Prediction, High Performance Computing, Third International Symposium. Proceedings, pp.73-87, 2000.
DOI : 10.1007/3-540-39999-2_8

J. Albericio, J. San-miguel, N. Enright-jerger, and A. Moshovos, Wormhole: Wisely Predicting Multidimensional Branches, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, pp.47-509, 2014.
DOI : 10.1109/MICRO.2014.40

J. Albericio, J. San-miguel, N. Enright-jerger, and A. Moshovos, Wormhole branch prediction using multidimensional histories, Proceedings of the 4th Championship on Branch Prediction, 2014.

A. Seznec, S. Felix, V. Krishnan, and Y. Sazeidès, Design tradeoffs for the ev8 branch predictor, Proceedings of the 29th Annual International Symposium on Computer Architecture, 2002.

J. Smith, A study of branch prediction strategies, 25 years of the international symposia on Computer architecture (selected papers) , ISCA '98, 1981.
DOI : 10.1145/285930.285980

S. Pan, K. So, and J. Rahmeh, Improving the accuracy of dynamic branch prediction using branch correlation, Proceedings of the 5th International Conference on Architectural Support for Programming Languages and Operating Systems, 1992.

R. Nair, Dynamic path-based branch correlation, Proceedings of the 28th Annual International Symposium on Microarchitecture, 1995.
DOI : 10.1109/MICRO.1995.476807

S. Mcfarling and D. Wrl, Combining branch predictors, 1993.

P. Michaud, A. Seznec, and R. Uhlig, Trading conflict and capacity aliasing in conditional branch predictors, Proceedings of the 24th Annual International Symposium on Computer Architecture (ISCA-97), 1997.

D. Jimenéz and C. Lin, Neural methods for dynamic branch prediction, ACM Transactions on Computer Systems, vol.20, issue.4, 2002.
DOI : 10.1145/571637.571639

P. Michaud, A PPM-like, tag-based predictor, Journal of Instruction Level Parallelism, 2005.

A. Seznec, A 64 kbytes ISL-TAGE branch predictor, Proceedings of the 3rd Championship Branch Prediction, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00639040

D. Jiménez, Reconsidering complex branch predictors, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings., 2003.
DOI : 10.1109/HPCA.2003.1183523

E. Hao, P. Chang, and Y. N. Patt, The effect of speculatively updating branch history on branch prediction accuracy, revisited, Proceedings of the 27th annual international symposium on Microarchitecture , MICRO 27, 1994.
DOI : 10.1145/192724.192756

W. W. Hwu and Y. N. Patt, Checkpoint repair for out-of-order execution machines, Proceedings of the 14th annual international symposium on Computer architecture , ISCA '87, pp.18-26, 1987.
DOI : 10.1145/30350.30353

A. Fog, The microarchitecture of intel, amd and via cpus, an optimization guide for assembly programmers and compiler makers, 2014.