K. Bowman, S. Duvall, and J. Meindl, Impact of die-to-die and withindie parameter fluctuations on the maximum clock frequency distribution for gigascale integration Solid-State Circuits, IEEE Journal, vol.37, pp.183-190, 2002.

J. Altet, A. Rubio, E. Schaub, S. Dilhaire, and W. Claeys, Thermal coupling in integrated circuits: application to thermal testing Solid- State Circuits, IEEE Journal, vol.36, pp.81-91, 2001.

J. Kung, I. Han, S. Sapatnekar, and Y. Shin, Thermal signature, Proceedings of the 48th Design Automation Conference on, DAC '11, pp.108-113, 2011.
DOI : 10.1145/2024724.2024748

M. Krstic, E. Grass, F. Gurkaynak, and P. Vivet, Globally Asynchronous, Locally Synchronous Circuits: Overview and Outlook, IEEE Design & Test of Computers, vol.24, issue.5, pp.430-441, 2007.
DOI : 10.1109/MDT.2007.164

E. Beigne and P. Vivet, An innovative local adaptive voltage scaling architecture for on-chip variability compensation, 2011 IEEE 9th International New Circuits and systems conference, pp.510-513, 2011.
DOI : 10.1109/NEWCAS.2011.5981331

H. Aoki, M. Ikeda, and K. Asada, On-chip voltage noise monitor for measuring voltage bounce in power supply lines using a digital tester, ICMTS 2000. Proceedings of the 2000 International Conference on Microelectronic Test Structures (Cat. No.00CH37095), pp.112-117, 2000.
DOI : 10.1109/ICMTS.2000.844416

P. Chen, C. Chen, C. Tsai, and W. Lu, A time-to-digitalconverter-based CMOS smart temperature sensor Solid-State Circuits, IEEE Journal, vol.40, pp.1642-1648, 2005.

L. Vincent, P. Maurine, S. Lesecq, and E. Beigné, Embedding Statistical Tests for on-chip Dynamic Voltage and Temperature Monitoring, Design Automation Conference (DAC), 2012 49th ACM, pp.994-999, 2012.
URL : https://hal.archives-ouvertes.fr/lirmm-00762020

D. J. Sheskin, Handbook of Parametric and Nonparametric Statistical Procedures, 2003.
DOI : 10.1201/9781420036268

D. Melpignano, L. Benini, E. Flamand, B. Jego, T. Lepley et al., Platform 2012, a many-core computing accelerator for embedded SoCs, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, pp.1137-1142, 2012.
DOI : 10.1145/2228360.2228568

J. Altet, W. Claeys, S. Dilhaire, and A. Rubio, Dynamic Surface Temperature Measurements in ICs, Proceedings of the IEEE, vol.94, issue.8, pp.1519-1533, 2006.
DOI : 10.1109/JPROC.2006.879793

A. Muhtaroglu, G. Taylor, and T. Rahal-arabi, On-die droop detector for analog sensing of power supply noise Solid-State Circuits, IEEE Journal, vol.39, pp.651-660, 2004.