Performance analysis and optimization of high density tree-based 3d multilevel FPGA - Archive ouverte HAL Accéder directement au contenu
Communication Dans Un Congrès Année : 2013

Performance analysis and optimization of high density tree-based 3d multilevel FPGA

Résumé

A Tree-based 3D Multilevel FPGA architecture that unifies two unidirectional programmable interconnection network is presented in this paper. In a Tree-based FPGA architecture, the interconnects are arranged in a multilevel network with the switch blocks placed at different tree levels using Butterfly-Fat-Tree network topology. Two dimensional layout development of a Tree-based multilevel interconnect is a major challenge for Tree-based FPGA. A 3D interconnect network technology leverage on Through Silicon Via (TSVs) to re-distribute the Tree interconnects, based on network delay and thermal considerations into multiple silicon layers is discussed. The impact of of Through Silicon Vias and performance improvement of 3D Tree-based FPGA are analyzed. We present an optimized physical design technology leverage on TSV, Thermal-TSV (TTSV), and thermal analysis. Compared to 3D Mesh-based FPGA, the 3D Tree-based FPGA design reduces the number of TSVs by 29% and leads to a performance improvement of 53% based on our place and route experiments.

Domaines

Electronique
Fichier principal
Vignette du fichier
Vinod_Pangracious_ARC2013.pdf (410.83 Ko) Télécharger le fichier
ARC2013.pdf (1.41 Mo) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Format : Autre

Dates et versions

hal-00872757 , version 1 (15-10-2013)

Identifiants

Citer

Vinod Pangracious, Zied Marrakchi, Emna Amouri, Habib Mehrez. Performance analysis and optimization of high density tree-based 3d multilevel FPGA. Reconfigurable Computing: Architectures, Tools and Applications, Mar 2013, Los Angeles, CA, United States. pp.197-209, ⟨10.1007/978-3-642-36812-7_19⟩. ⟨hal-00872757⟩
144 Consultations
305 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More