H. Aydin and Q. Yang, Energy-aware partitioning for multiprocessor real-time systems, Proceedings International Parallel and Distributed Processing Symposium, pp.113-121, 2003.
DOI : 10.1109/IPDPS.2003.1213225

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.128.119

N. Bansal, T. Kimbrel, and K. Pruhs, Speed scaling to manage energy and temperature, Journal of the ACM, vol.54, issue.1, pp.1-39, 2007.
DOI : 10.1145/1206035.1206038

J. Chen and T. Kuo, Multiprocessor energy-efficient scheduling for real-time tasks, Proc. of Int. Conf. on Parallel Processing (ICPP)

S. Miermont, P. Vivet, and M. Renaudin, A Power Supply Selector for Energy-and Area-Efficient Local Dynamic Voltage Scaling Power and Timing Modeling, Optimization and Simulation, Integrated Circuit and System Design, pp.556-565, 2007.

P. Brucker, Scheduling Algorithms, 2007.

G. Aupy, A. Benoit, F. Dufossé, and Y. Robert, Reclaiming the energy of a schedule, models and algorithms Concurrency and Computation: Practice and Experience, 2012, also available as INRIA research report 7598 at graal

V. J. Rayward-smith, F. W. Burton, and G. J. Janacek, Scheduling parallel programs assuming preallocation, 1995.

S. Lee and T. Sakurai, Run-time voltage hopping for low-power realtime systems, Proc. of Annual Design Automation Conf. (DAC), pp.806-809, 2000.

R. B. Prathipati, Energy efficient scheduling techniques for real-time embedded systems, 2004.

L. Wang, G. Von-laszewski, J. Dayal, and F. Wang, Towards Energy Aware Scheduling for Precedence Constrained Parallel Tasks in a Cluster with DVFS, 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, pp.368-377, 2010.
DOI : 10.1109/CCGRID.2010.19

D. Zhu, R. Melhem, and D. Mossé, The effects of energy management on reliability in real-time embedded systems, Proc. of IEEE/ACM Int. Conf. on Computer-Aided Design (ICCAD), pp.35-40, 2004.

V. Degalahal, L. Li, V. Narayanan, M. Kandemir, and M. J. Irwin, Soft errors issues in low-power caches, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.13, issue.10, pp.1157-1166, 2005.
DOI : 10.1109/TVLSI.2005.859474

A. J. Oliner, R. K. Sahoo, J. E. Moreira, M. Gupta, and A. Sivasubramaniam, Fault-aware job scheduling for BlueGene/L systems, Proc. of Int. Parallel and Distributed Processing Symposium (IPDPS), pp.64-73, 2004.
DOI : 10.1109/ipdps.2004.1302991

D. Zhu and H. Aydin, Energy management for real-time embedded systems with reliability requirements, Proc. of IEEE/ACM Int. Conf. on Computer-Aided Design (ICCAD), pp.528-534, 2006.

P. Pop, K. H. Poulsen, V. Izosimov, and P. Eles, Scheduling and voltage scaling for energy/reliability trade-offs in fault-tolerant timetriggered embedded systems, Proc. of IEEE/ACM Int. Conf. on Hardware/software codesign and system synthesis (CODES+ISSS), pp.233-238, 2007.

I. Assayad, A. Girault, and H. Kalla, Tradeoff Exploration between Reliability, Power Consumption, and Execution Time, Proc. of Conf. on Computer Safety, Reliability and Security (SAFECOMP), 2011.
DOI : 10.1109/24.24570

URL : https://hal.archives-ouvertes.fr/hal-00655478

A. Girault, E. Saule, and D. Trystram, Reliability versus performance for critical applications, Journal of Parallel and Distributed Computing, vol.69, issue.3, pp.326-336, 2009.
DOI : 10.1016/j.jpdc.2008.11.002

URL : https://hal.archives-ouvertes.fr/hal-00753169

R. Melhem, D. Mosse, and E. Elnozahy, The interplay of power management and fault recovery in real-time systems, IEEE Transactions on Computers, vol.53, issue.2, 2003.
DOI : 10.1109/TC.2004.1261830

Y. Zhang and K. Chakrabarty, Energy-aware adaptive checkpointing in embedded real-time systems, 2003 Design, Automation and Test in Europe Conference and Exhibition, p.10918, 2003.
DOI : 10.1109/DATE.2003.1253723

S. M. Shatz and J. Wang, Models and algorithms for reliability-oriented task-allocation in redundant distributed-computer systems, IEEE Transactions on Reliability, vol.38, issue.1, pp.16-27, 1989.
DOI : 10.1109/24.24570

M. Baleani, A. Ferrari, L. Mangeruca, A. Sangiovanni-vincentelli, M. Peri et al., Fault-tolerant platforms for automotive safetycritical applications Architectures and Synthesis for Embedded Systems, Proc. of Int. Conf. on Compilers, pp.170-177, 2003.

G. Aupy, A. Benoit, and Y. Robert, Energy-aware scheduling under reliability and makespan constraints, 2012 19th International Conference on High Performance Computing, 2012.
DOI : 10.1109/HiPC.2012.6507482

URL : https://hal.archives-ouvertes.fr/hal-00763384

M. R. Garey and D. S. Johnson, Computers and Intractability; A Guide to the Theory of NP-Completeness

G. Aupy, Source code and data Available: http://gaupy.org/tri-criteria-scheduling, 2012.

D. Cordeiro, G. Mounié, S. Perarnau, D. Trystram, J. Vincent et al., Random graph generation for scheduling simulations, Proceedings of the 3rd International ICST Conference on Simulation Tools and Techniques, p.10, 2010.
DOI : 10.4108/ICST.SIMUTOOLS2010.8667

URL : https://hal.archives-ouvertes.fr/hal-00471255