S. Borkar and A. A. Chien, The future of microprocessors, Communications of the ACM, vol.54, issue.5, pp.67-77, 2011.
DOI : 10.1145/1941487.1941507

C. Clauss, S. Lankes, P. Reble, and T. Bemmerl, Evaluation and improvements of programming models for the Intel SCC many-core processor, 2011 International Conference on High Performance Computing & Simulation, 2011.
DOI : 10.1109/HPCSim.2011.5999870

L. Seiler, D. Carmean, E. Sprangle, T. Forsyth, M. Abrash et al., Larrabee, ACM Transactions on Graphics, vol.27, issue.3, pp.1-1815, 2008.
DOI : 10.1145/1360612.1360617

D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards et al., On-Chip Interconnection Architecture of the Tile Processor, IEEE Micro, vol.27, issue.5, pp.15-31, 2007.
DOI : 10.1109/MM.2007.4378780

D. Chaiken, C. Fields, K. Kurihara, and A. Agarwal, Directory-based cache coherence in large-scale multiprocessors, Computer, vol.23, issue.6, pp.49-58, 1990.
DOI : 10.1109/2.55500

J. H. Kelm, D. R. Johnson, W. Tuohy, S. S. Lumetta, and S. J. Patel, Cohesion: a hybrid memory model for accelerators, Proceedings of the 37th annual international symposium on Computer architecture, ser. ISCA '10, pp.429-440, 2010.

S. V. Adve, V. S. Adve, M. D. Hill, and M. K. Vernon, Comparison of hardware and software cache coherence schemes, Proceedings of the 18th annual international symposium on Computer architecture, ser. ISCA '91, pp.298-308, 1991.

A. Baumann, P. Barham, P. Dagand, T. Harris, R. Isaacs et al., The multikernel, Proceedings of the ACM SIGOPS 22nd symposium on Operating systems principles, SOSP '09, pp.29-44, 2009.
DOI : 10.1145/1629575.1629579

X. Zhou, H. Chen, S. Luo, Y. Gao, S. Yan et al., A Case for Software Managed Coherence in Manycore Processors, Poster on 2nd USENIX Workshop on Hot Topics in Parallelism HotPar10, 2010.

Y. P. Zhang, T. Jeong, F. Chen, H. Wu, R. Nitzsche et al., A study of the on-chip interconnection network for the ibm cyclops64 multi-core architecture, Proceedings of the 20th international conference on Parallel and distributed processing, ser. IPDPS'06, pp.64-64, 2006.

A. Olofsson, A 1024-core 70 GFLOP/W Floating Point Manycore Microprocessor, Poster on 15th Workshop on High Performance Embedded Computing HPEC2011, 2011.

J. Nolte, Y. Ishikawa, and M. Sato, TACO ? Prototyping High-Level Object-Oriented Programming Constructs by Means of Template Based Programming Techniques, ACM Sigplan, Special Section, Intriguing Technology from OOPSLA, vol.36, issue.12, 2001.

R. Rotta, T. Prescher, J. Traue, and J. Nolte, In-memory communication mechanisms for many-cores ? experiences with the Intel SCC, TACC- Intel Highly Parallel Computing Symposium (TI-HPCS), 2012.

T. Prescher, R. Rotta, and J. Nolte, Flexible sharing and replication mechanisms for hybrid memory architectures, Proceedings of the 4th Many-core Applications Research Community (MARC) Symposium . Technische Berichte des Hasso-Plattner-Instituts für Softwaresystemtechnik an der Universität Potsdam, pp.67-72, 2012.

R. Rotta and A. Noack, Multilevel local search algorithms for modularity clustering, Journal of Experimental Algorithmics, vol.16, pp.2-3, 2011.
DOI : 10.1145/1963190.1970376

M. E. Newman, Analysis of weighted networks, Physical Review E, vol.70, issue.5, p.56131, 2004.
DOI : 10.1103/PhysRevE.70.056131

U. Brandes, D. Delling, M. Gaertler, R. Görke, M. Hoefer et al., On Modularity Clustering, IEEE Transactions on Knowledge and Data Engineering, vol.20, issue.2, pp.172-188, 2008.
DOI : 10.1109/TKDE.2007.190689

S. L. Min and J. Baer, Design and analysis of a scalable cache coherence scheme based on clocks and timestamps, IEEE Transactions on Parallel and Distributed Systems, vol.3, issue.1, pp.25-44, 1992.
DOI : 10.1109/71.113080

A. Noack, Example graphs from the LinLogLayout tool, 2008.

V. Batagelj and A. Mrvar, Pajek datasets, 2006.
DOI : 10.1007/978-1-4614-6170-8_310

A. Gupta, W. Weber, and T. C. Mowry, Reducing Memory and Traffic Requirements for Scalable Directory-Based Cache Coherence Schemes*, Proceedings of the 1990 International Conference on Parallel Processing (ICCP), pp.312-321, 1990.
DOI : 10.1007/978-1-4615-3604-8_9

H. Zhao, A. Shriraman, and S. Dwarkadas, SPACE, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, PACT '10, pp.135-146, 2010.
DOI : 10.1145/1854273.1854294

URL : https://hal.archives-ouvertes.fr/in2p3-00011551

J. Zebchuk, V. Srinivasan, M. K. Qureshi, and A. Moshovos, A tagless coherence directory, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, pp.423-434, 2009.
DOI : 10.1145/1669112.1669166