B. F. Romanescu, M. E. Bauer, D. J. Sorin, and S. Ozev, Reducing the Impact of Process Variability with Prefetching and Criticality-Based Resource Allocation, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007), 2007.
DOI : 10.1109/PACT.2007.4336252

B. Pangrle and K. Shekhar, Leakage power at 90nm and below, EE Times Asia, 2005.

A. Nicoli, Achieving yield in the nanometer age, Mentor Graphics Corp, 2007.

W. Kuzmicz, E. Piwowarska, A. Pfitzner, and D. Kasprowicz, Static Power Consumption in Nano-CMOS Circuits: Physics and Modelling, 2007 14th International Conference on Mixed Design of Integrated Circuits and Systems, 2007.
DOI : 10.1109/MIXDES.2007.4286142

K. Von-arnim, E. Borinski, P. Seegebrecht, H. Fiedler, R. Brederlow et al., Efficiency of body biasing in 90nm CMOS for low-power digital circuits, IEEE Journal of Solidstate Circuits, issue.7, p.4015491556, 2005.

Y. H. Lu and G. Micheli, Comparing system-level power management policies, IEEE Design and Test of Computers, vol.18, pp.10-19, 2001.

K. Flautner, D. Flynn, D. Roberts, and D. I. Patel, IEM926: an energy efficient SoC with dynamic voltage scaling, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269261

A. Varma, B. Ganesh, M. Sen, S. R. Choudhury, L. Srinivasan et al., A control-theoretic approach to dynamic voltage scheduling, Proceedings of the international conference on Compilers, architectures and synthesis for embedded systems , CASES '03, 2003.
DOI : 10.1145/951710.951744

T. Ishihara and H. Yasuura, Voltage scheduling problem for dynamically variable voltage processors, Proceedings of the 1998 international symposium on Low power electronics and design , ISLPED '98, 1998.
DOI : 10.1145/280756.280894

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.2.9415

J. Pouwelse, K. Langendoen, and H. Sips, Dynamic voltage scaling on a low-power microprocessor, Proceedings of the 7th annual international conference on Mobile computing and networking , MobiCom '01, 2001.
DOI : 10.1145/381677.381701

K. Flautner, S. K. Reinhardt, and T. N. Mudge, Automatic performance setting for dynamic voltage scaling, Proceedings of the 7th annual international conference on Mobile computing and networking , MobiCom '01, 2001.
DOI : 10.1145/381677.381702

T. D. Burd and R. W. Brodersen, Processor design for portable systems, Journal of VLSI signal processing systems for signal, image and video technology, vol.29, issue.2-3, pp.203-221, 1996.
DOI : 10.1007/BF01130406

T. Burd, T. Pering, R. Stratakos, and . Brodersen, A dynamic voltage scaled microprocessor system, IEEE Journal of Solid-State Circuits, vol.35, issue.11, pp.1571-1580, 2000.
DOI : 10.1109/4.881202

Y. Zhu and F. Mueller, Feedback dynamic voltage scaling dvs-edf scheduling: Correctness and pid-feedback, Workshop on Compilers and Operating Systems for Low Power, 2003.
DOI : 10.1145/1065910.1065939

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.66.9005

L. Fesquet and H. Zakaria, Controlling energy and process variability in System-on-Chips: needs for control theory, 2009 IEEE International Conference on Control Applications, 2009.
DOI : 10.1109/CCA.2009.5280864

URL : https://hal.archives-ouvertes.fr/hal-00422305

D. Marculescu and E. Talpes, Energy Awareness and Uncertainty in Microarchitecture-Level Design, IEEE Micro, vol.25, issue.5, pp.64-76, 2005.
DOI : 10.1109/MM.2005.86

M. Krstic, E. Grass, F. K. Gurkaynak, and P. Vivet, Globally Asynchronous, Locally Synchronous Circuits: Overview and Outlook, IEEE Design & Test of Computers, vol.24, issue.5, pp.430-441, 2007.
DOI : 10.1109/MDT.2007.164

T. Villiger, H. Käslin, F. K. Gürkaynak, S. Oetiker, and W. Fichtner, Self-timed ring for globally-asynchronous locally-synchronous systems, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings., 2003.
DOI : 10.1109/ASYNC.2003.1199174

C. Albea-sánchez, C. Canudas-de-wit, and F. Gordillo, Control and stability analysis for the vdd-hopping mechanism, Proceedings of the IEEE Conference on Control and Applications, 2009.

. Fig, Timing diagram of the digital controller behavior with 3 different MIPS R2000 workloads under different process variability effects: Nominal

F. R. Boyer, H. G. Epassa, and Y. Savaria, Embedded power-aware cycle by cycle variable speed processor, IEE Proceedings of Computers and Digital Techniques, pp.283-290, 2006.
DOI : 10.1049/ip-cdt:20050170

M. Stork, Digital building block for frequency synthesizer and fractional phase locked loops, SympoTIC'03. Joint 1st Workshop on Mobile Future and Symposium on Trends in Communications, 2003.
DOI : 10.1109/TIC.2003.1249105

S. Fairbanks and S. Moore, Analog micropipeline rings for high precision timing, 10th International Symposium on Asynchronous Circuits and Systems, 2004. Proceedings., 2004.
DOI : 10.1109/ASYNC.2004.1299286

V. Zebilis and C. P. Sotiriou, Controlling Event Spacing in Self-Timed Rings, 11th IEEE International Symposium on Asynchronous Circuits and Systems, 2005.
DOI : 10.1109/ASYNC.2005.16

J. Hamon, L. Fesquet, B. Miscopein, and M. Renaudin, High-level timeaccurate model for the design of self-timed ring oscillators, 14th IEEE International Symposium on Asynchronous Circuits and Systems, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00288379

D. Muller and W. Bartky, A theory of asynchronous circuits, Proceedings of International Symposium on the Theory of Switching, 1959.

O. Elissati, E. Yahya, L. Fesquet, and S. Rieubon, Oscillation period and power consumption in configurable self-timed ring oscillators, 2009 Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference, pp.1-4, 2009.
DOI : 10.1109/NEWCAS.2009.5290439

S. Durand and N. Marchand, Fully Discrete Control Scheme of the Energy-Performance Tradeoff in Embedded Electronic Devices, Proceedings of the 18th World Congress of IFAC, 2011.
DOI : 10.3182/20110828-6-IT-1002.01961

URL : https://hal.archives-ouvertes.fr/hal-00568103

M. Alamir, Stabilization of Nonlinear Systems Using Receding-Horizon Control Schemes: A Parametrized Approach for Fast Systems, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00113043

H. Zakaria, Asynchronous Architecture for Power Efficiency and Yield Enhancement in the Decananometric Technologies: Application to a Multi-Core System-on-Chip, 2010.
URL : https://hal.archives-ouvertes.fr/tel-00577073