A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum - Archive ouverte HAL Accéder directement au contenu
Article Dans Une Revue Microelectronic Engineering Année : 2009

A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum

Résumé

Primarily used as etch mask, single layer hydrogen silsesquioxane has never been investigated for lift-off technique. In this article, we propose a new technique where a single layer of hydrogen silsesquioxane, a negative tone electron beam resist, is used to make lift-off of germanium and platinum. Removal of exposed hydrogen silsesquioxane is tested for various concentrations of hydrofluoric acid. Ultrasonic agitation is also used to reduce the formation of flakes due to accumulation of matter (evaporated metal in our case) along the sidewalls of the lift-off narrow slots. Results demonstrate potential in applying the hydrogen silsesquioxane as a negative tone lift-off resist to pattern nanometer scale features into germanium and platinum layers.
Fichier principal
Vignette du fichier
HSQ_lift-off_process_Ge_Pt.pdf (588.14 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-00625915 , version 1 (22-09-2011)

Identifiants

Citer

Vikram Passi, Aurélie Lecestre, Christophe Krzeminski, Guilhem Larrieu, Emmanuel Dubois, et al.. A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum. Microelectronic Engineering, 2009, 87 (10), pp.1872-1878. ⟨10.1016/j.mee.2009.11.022⟩. ⟨hal-00625915⟩
136 Consultations
499 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More