D. Brooks, V. Tiwari, and M. Martonosi, Wattch: a framework for architectural-level power analysis and optimizations, 27th International Symposium on Computer Architecture ISCA, 2000.

D. Burger and T. M. Austin, The SimpleScalar tool set, version 2.0, ACM SIGARCH Computer Architecture News, vol.25, issue.3, pp.13-25, 1997.
DOI : 10.1145/268806.268810

N. Chang and K. Kim, Real-time per-cycle energy consumption measurement of digital systems, Electronics Letters, vol.36, issue.13, pp.1169-1171, 2000.
DOI : 10.1049/el:20000811

R. Yu-chen, M. J. Irwin, and R. S. Bajwa, Architecture-level power estimation and design experiments, ACM Transactions on Design Automation of Electronic Systems, vol.6, issue.1, pp.50-66, 2001.
DOI : 10.1145/371254.371262

R. Yu-chen, R. M. Owens, M. J. Irwin, and R. S. Bajwa, Validation of an architectural level power analysis technique, Proceedings of the 35th annual conference on Design automation, 1998.

R. Y. Chen, M. J. Irwin, and R. S. Bajwa, An architectural level power estimator, Proceedings of the Power-Driven Microarchitecture Workshop, 1998.

N. Fournel, A. Fraboulet, and P. Feautrier, Porting the mutek operating system to arm platforms, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00399645

R. K. Krishnamurthy, Mixed Swing Techniques for Low Energy/Operation Datapath Circuits, 1997.

M. Tien-chien-lee, M. Fujita, V. Tiwari, and S. Malik, Power analysis and minimization techniques for embedded DSP software, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1997.
DOI : 10.1109/92.555992

S. Lee and A. Ermedahl, An accurate instruction-level energy consumption model for embedded risc processors, ACM SIGPLAN Notices, 2001.

Y. Li and J. Henkel, A framework for estimating and minimizing energy dissipation of embedded HW/SW systems, 35th Conference on Design Automation Conference (DAC'98), pp.188-193, 1998.

S. Nikolaidis and T. Laopoulos, Instruction-level power consumption estimation embedded processors low-power applications, Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, International Workshop on, pp.139-142, 2001.
DOI : 10.1109/idaacs.2001.941998

D. J. Pursley, A gate level simulator for power consumption analysis, 1996.

J. T. Russell and M. F. Jacome, Software power estimation and optimization for high performance, 32-bit embedded processors, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273), 1998.
DOI : 10.1109/ICCD.1998.727070

S. Steinke, M. Knauer, L. Wehmeyer, and P. Marwedel, An accurate and fine grain instruction-level energy model supporting software optimizations, International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS), 2001.

V. Tiwari, S. Malik, and A. Wolfe, Power analysis of embedded software: a first step towards software power minimization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1994.

V. Tiwari, S. Malik, A. Wolfe, and M. Lee, Instruction level power analysis and optimization of software, Journal of VLSI Signal Processing, 1996.

W. Ye, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin, The design and use of simplepower, Proceedings of the 37th conference on Design automation , DAC '00, 2000.
DOI : 10.1145/337292.337436