. Vsi-alliance, Virtual component interface standard (OCB specification 2, version 1, 2000.

N. Banerjee, P. Vellanki, and K. S. Chatha, A power and performance model for networkon-chip architectures, Design, Automation and Test in Europe Conference (DATE), 2004.

M. Bednara and J. Teich, Automatic synthesis of FPGA processor arrays from loop algorithms, The Journal of Supercomputing, vol.26, issue.2, pp.149-165, 2003.
DOI : 10.1023/A:1024447517501

M. Bolado, H. Posadas, J. Castillo1, P. Huerta1, P. Sánchez1 et al., Platform based on open-source cores for industrial applications, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269026

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.203.740

E. Casseau, C. Jego, and E. Martin, Architectural synthesis of digital signal processing applications dedicated to submicron technologies, ICECS 2001. 8th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.01EX483), pp.533-536, 2001.
DOI : 10.1109/ICECS.2001.957799

V. Chandras, A. Xu, H. Schmit, and L. Pileggi, An interconnect channel design methodology for high performance integrated circuits, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269045

A. Darte, S. Derrien, and T. Risset, Hardware/software interface for multidimensional processor arrays, IEEE International Conference on Application-specific Systems , Architectures and Processors (ASAP), 2005.

S. Derrien, A. C. Guillou, P. Quinton, T. Risset, and C. Wagner, Automatic synthesis of efficient interfaces for compiled regular architectures, Internationnal Samos Workshop on Systems, Architectures, Modeling and Simulation (Samos), 2002.

S. Derrien and T. Risset, Interfacing compiled FPGA programs: the MMAlpha approach, PDPTA2000: Second International Workshop on Engineering of Reconfigurable Hardware/Software Objects, 2000.

A. Fraboulet, T. Risset, and A. Scherrer, Cycle Accurate Simulation Model Generation for SoC Prototyping, 2004.
DOI : 10.1007/978-3-540-27776-7_47

URL : https://hal.archives-ouvertes.fr/hal-00399647

A. Guillou, P. Quinton, T. Risset, C. Wagner, and D. Massicotte, High level design of digital filters in mobile communications, 2001.

J. Horstmannshoff, T. Grotker, and H. Meyr, Mapping multirate dataflow to complex RT level hardware models, Proceedings IEEE International Conference on Application-Specific Systems, Architectures and Processors, pp.283-293, 1997.
DOI : 10.1109/ASAP.1997.606834

B. Hounsell and R. Taylor, Co-processor synthesis: a new methodology for embedded software acceleration, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1268929

H. A. Jang, M. Kang, M. J. Lee, K. Chae, K. Lee et al., High-level system modeling and architecture exploration with systemc on a network SoC: S3C2510 case study, Design, Automation and Test in Europe Conference (DATE), 2004.

H. Jung, K. Lee, and S. Ha, Efficient hardware controller synthesis for synchronous dataflow graph in system level design, International Symposium on System Synthesis (ISSS), pp.79-84, 2000.

B. Kienhuis, E. Rijpkema, and E. F. Deprettere, Compaan, Proceedings of the eighth international workshop on Hardware/software codesign , CODES '00, 2000.
DOI : 10.1145/334012.334015

E. A. Lee, Overview of the Ptolemy project, 1999.

M. Loghiy, F. Angiolini, D. Bertozzi, L. Benini, and R. Zafalon, Analyzing on-chip communication in a MPSoC environment, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1268966

J. Park and P. C. Diniz, Synthesis of pipelined memory access controllers for streamed data applications on FPGA-based computing engines, Proceedings of the 14th international symposium on Systems synthesis , ISSS '01, pp.221-226, 2001.
DOI : 10.1145/500001.500054

J. Park and P. C. Diniz, Synthesis and estimation of memory interfaces for FPGAbased reconfigurable computing engines, International Symposium on FPGA Custom Computing Machines, 2003.

D. , G. Pérez, G. Mouchard, and O. Temam, A new optimized implementation of the systemC engine using acyclic scheduling, Design, Automation and Test in Europe Conference and Exhibition (DATE Designers' Forum), 2004.

F. Pétrot, D. Hommais, and A. Greiner, A simulation environment for core based embedded systems, Proceedings of 1997 SCS Simulation Multiconference, pp.86-91, 1997.
DOI : 10.1109/SIMSYM.1997.586495

P. Quinton, S. V. Rajopadhye, and T. Risset, On Manipulating Z-Polyhedra Using a Canonical Representation, Parallel Processing Letters, vol.07, issue.02, pp.181-194, 1997.
DOI : 10.1142/S012962649700019X

R. Schreiber, High-Level Synthesis of Non Programmable Hardware Accelerators, IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2000.

C. Shin, Y. Kim, E. Chung, K. Choi, J. Kong et al., Fast exploration of parameterized bus architecture for communication-centric SoC design, Design, Automation and Test in Europe Conference (DATE), 2004.

V. D. Silva, S. Ramesh, and A. Sowmya, Synchronous protocol automata: A framework for modelling and verification of SoC communication architectures, Design, Automation and Test in Europe Conference (DATE), 2004.

M. C. Williamson, Synthesis of parallel hardware implementations from synchronous dataflow graph specifications, Conference Record of The Thirtieth Asilomar Conference on Signals, Systems and Computers, 1998.
DOI : 10.1109/ACSSC.1996.599166