P. Abry and D. Veitch, Wavelet analysis of long-range-dependent traffic, IEEE Transactions on Information Theory, vol.44, issue.1, pp.2-15, 1998.
DOI : 10.1109/18.650984

J. Bardet, G. Lang, G. Oppenheim, A. Philippe, and M. Taqqu, Long-Range Dependence: Theory and Applications, chap. Generators of long-range dependent processes: A survey, pp.579-623, 2003.

T. Bjerregaard and S. Mahadevan, A survey of research and practices of networkon-chip, ACM Comput. Surv, vol.38, issue.1, 2006.

P. Brockwell and R. Davis, Time Series: Theory and Methods, 1991.

V. Chandra, H. Xu, A. Schmit, and L. Pileggi, An interconnect channel design methodology for high perforance integrated circuits, p.date, 2004.

A. Erramilli, O. Narayan, and W. Willinger, Experimental queueing analysis with long-range dependent packet traffic, IEEE/ACM Transactions on Networking, vol.4, issue.2, pp.209-223, 1996.
DOI : 10.1109/90.491008

N. Genko, D. Atienza, G. D. Micheli, J. M. Mendias, R. Hermida et al., Catthoor, A complete network-on-chip emulation framework, p.5, 2005.

A. Greiner and P. Guerrier, A generic architecture for on-chip paquets-switched interconnections, Design, Automation and Test in Europe, 2000.

J. Hu and R. Marculescu, ApplicationSpecific Buffer Space Allocation for NetworksonChip Router Design, IEEE/ACM Intl. Conf. on Computer Aided Design, 2004.

R. Jain, The Art of Computer Systems Performance Analysis, 1991.

K. Lahiri, A. Raghunathan, and S. Dey, Evaluation of the traffic-performance characteristics of system-on-chip communication architectures, VLSI Design 2001. Fourteenth International Conference on VLSI Design, 2001.
DOI : 10.1109/ICVD.2001.902636

W. E. Leland, M. S. Taqqu, W. Willinger, and D. V. Wilson, On the self-similar nature of Ethernet traffic (extended version), IEEE/ACM Transactions on Networking, vol.2, issue.1, pp.1-15, 1994.
DOI : 10.1109/90.282603

M. Loghi, F. Angiolini, D. Bertozzi, L. Benini, and R. Zafalon, Analyzing on-chip communication in a MPSoC environment, Proceedings Design, Automation and Test in Europe Conference and Exhibition, p.4, 2004.
DOI : 10.1109/DATE.2004.1268966

S. Mahadevan, F. Angiolini, M. Storgaard, R. G. Olsen, J. Sparsø et al., A network traffic generator model for fast network-on-chip simulation, p.5, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00181209

C. S. Paris and V. , Soclib simulation environment, On line: http://soclib, 2006.

U. Y. Ogras, J. Hu, and R. Marculescu, Key research problems in NoC design, Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES+ISSS '05, 2005.
DOI : 10.1145/1084834.1084856

V. Paxon and S. Floyd, Wide area traffic: the failure of Poisson modeling, IEEE/ACM Transactions on Networking, vol.3, issue.3, pp.226-244, 1995.
DOI : 10.1109/90.392383

S. G. Pestana, E. Rijpkema, A. , K. Goossens, and O. P. Gangwal, Costperformance trade-offs in networks on chip: A simulation-based approach, p.4, 2004.

A. Scherrer, A. Fraboulet, and T. Risset, Automatic phase detection for stochastic on-chip traffic generation, Proceedings of the 4th international conference on Hardware/software codesign and system synthesis , CODES+ISSS '06, 2006.
DOI : 10.1145/1176254.1176277

URL : https://hal.archives-ouvertes.fr/hal-00410758

A. Scherrer, A. Fraboulet, and T. Risset, Generic multi-phase on-chip traffic generator, 2006.
DOI : 10.1109/asap.2006.5

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.399.6016

A. Scherrer, N. Larrieu, P. Borgnat, P. Owezarski, and P. Abry, Non gaussian and long memory statistical characterisations for internet traffic with anomalies, IEEE Transactions on Dependable and Secure Computing
URL : https://hal.archives-ouvertes.fr/ensl-00175477

R. Thid, K. Sander, and A. Jantsch, Flexible Bus and NoC Performance Analysis with Configurable Synthetic Workloads, 9th EUROMICRO Conference on Digital System Design (DSD'06), 2006.
DOI : 10.1109/DSD.2006.52

G. Varatkar and R. Marculescu, On-chip traffic modeling and synthesis for MPEG-2 video applications, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.108-119, 2004.
DOI : 10.1109/TVLSI.2003.820523

D. Wiklund, S. Sathe, and D. Liu, Network on chip simulations for benchmarking, 4th IEEE International Workshop on System-on-Chip for Real-Time Applications, 2004.
DOI : 10.1109/IWSOC.2004.1319892