Proven correct monitors from PSL specifications - Archive ouverte HAL Accéder directement au contenu
Communication Dans Un Congrès Année : 2006

Proven correct monitors from PSL specifications

Résumé

We developed anoriginal method to synthesize monitors from declarative specifications written in the PSL standard. Monitors observe sequences of values on their input signals, and check their conformance to a specified temporal expression. Our method implements both the weak and strong versions of PSL FL operators, and has been proven correct using the PVS theorem prover.This paper discusses the salient aspects of the proof of our prototype implementation for on-line design verification

Mots clés

Fichier non déposé

Dates et versions

hal-00079311 , version 1 (12-06-2006)

Identifiants

  • HAL Id : hal-00079311 , version 1

Citer

D. Borrione, Katell Morin-Allory. Proven correct monitors from PSL specifications. Proceedings of the conference on Design, automation and test in Europe, 2006, Munich, Germany. pp.1246-1251. ⟨hal-00079311⟩

Collections

UGA CNRS TIMA
129 Consultations
0 Téléchargements

Partager

Gmail Facebook X LinkedIn More