Functional Coverage Driven Test Generation for Validation of Pipelined Processors - Archive ouverte HAL Accéder directement au contenu
Communication Dans Un Congrès Année : 2005

Functional Coverage Driven Test Generation for Validation of Pipelined Processors

Résumé

Functional verification of microprocessors is one of the most complex and expensive tasks in the current system-on-chip design process. A significant bottleneck in the validation of such systems is the lack of a suitable functional coverage metric. This paper presents a functional coverage based test generation technique for pipelined architectures. The proposed methodology makes three important contributions. First, a general graph-theoretic model is developed that can capture the structure and behavior (instruction-set) of a wide variety of pipelined processors. Second, we propose a functional fault model that is used to define the functional coverage for pipelined architectures. Finally, test generation procedures are presented that accept the graph model of the architecture as input and generate test programs to detect all the faults in the functional fault model. Our experimental results on two pipelined processor models demonstrate that the number of test programs generated by our approach to obtain a fault coverage is an order of magnitude less than those generated by traditional random or constrained-random test generation techniques.
Fichier principal
Vignette du fichier
228820678.pdf (140.97 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-00181186 , version 1 (23-10-2007)

Identifiants

  • HAL Id : hal-00181186 , version 1

Citer

Prabhat Mishra, Nikil Dutt. Functional Coverage Driven Test Generation for Validation of Pipelined Processors. DATE'05, Mar 2005, Munich, Germany. pp.678-683. ⟨hal-00181186⟩

Collections

DATE
24 Consultations
635 Téléchargements

Partager

Gmail Facebook X LinkedIn More