2024
Article dans une revue
- titre
- Microstructural analysis by X-ray powder diffraction of nanosized Pr0.67Sr0.33MnO3 manganite
- auteur
- W. Mabrouki, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Applied physics. A, Materials science & processing, 2024, 130 (4), pp.230. ⟨10.1007/s00339-024-07375-0⟩
- Accès au bibtex
-
- titre
- Long indium-rich InGaAs nanowires by SAG-HVPE
- auteur
- Emmanuel Chereau, Gabin Grégoire, Geoffrey Avit, Thierry Taliercio, Philipp Staudinger, Heinz Schmid, C. Bougerol, Agnès Trassoudaine, Evelyne Gil, Ray Lapierre, Yamina André
- article
- Nanotechnology, 2024, 35 (19), pp.195601. ⟨10.1088/1361-6528/ad263a⟩
- Accès au texte intégral et bibtex
-
- titre
- Evolution of the magnetic excitations in electron-doped La 2−x Ce x CuO 4
- auteur
- X. T. Li, S. J. Tu, Laura Chaix, Chafic Fawaz, Matteo d'Astuto, Xiaoting T. Li, F. Yakhou-Harris, K. Kummer, N. B. Brookes, Mirian Garcia-Fernandez, Ke-Jin Zhou, Z. F. Lin, J. Yuan, K. Jin, M. P. M. Dean, Xuerong Liu
- article
- Physical Review Letters, 2024, 132 (5), pp.056002. ⟨10.1103/PhysRevLett.132.056002⟩
- Accès au texte intégral et bibtex
-
- titre
- Identification of Oxidized Platinum Single Atoms on Chlorinated Y-Alumina Support by Density Functional Theory Calculations and X-Ray Absorption Spectroscopy
- auteur
- Adrien Hellier, Ana T.F. Batista, Christèle Legens, Antonio Aguilar Tapia, Olivier Proux, Jean-Louis Hazemann, Anne-Sophie Gay, Yves Joly, Céline Chizallet, Pascal Raybaud
- article
- Journal of Catalysis, 2024, 429, pp.115212. ⟨10.1016/j.jcat.2023.115212⟩
- Accès au texte intégral et bibtex
-
- titre
- Dose symmetric electron diffraction tomography (DS-EDT): Implementation of a dose-symmetric tomography scheme in 3D electron diffraction
- auteur
- Emre Yörük, Holger Klein, Stéphanie Kodjikian
- article
- Ultramicroscopy, 2024, 255, pp.113857. ⟨10.1016/j.ultramic.2023.113857⟩
- Accès au bibtex
-
- titre
- An Approach Inspired by Quantum Mechanics for the Modeling of Large Power Systems
- auteur
- Pierrick Guichard, Nicolas Retière, Didier Mayou
- article
- IEEE Transactions on Power Systems, 2024, 39 (1), pp.1360-1369. ⟨10.1109/TPWRS.2023.3243933⟩
- Accès au texte intégral et bibtex
-
- titre
- Green Luminescence in Zero-Dimensional Lead bromide hybrid material (C5H9N3)2PbBr6: Experimental and Theoretical Studies
- auteur
- Mohamed Ikbal Houchati, Hela Ferjani, Youssef Ben Smida, Abderrazek Oueslati, Nassira Chniba-Boudjada, Oscar Fabelo, Jean-François Bardeau, Werner Paulus, Monica Ceretti, Ahmed Hichem Hamzaoui
- article
- Journal of Molecular Structure, 2024, 1295 (Part 2), pp.136687. ⟨10.1016/j.molstruc.2023.136687⟩
- Accès au bibtex
-
- titre
- Enhanced estimation of quantum properties with common randomized measurements
- auteur
- Benoît Vermersch, Aniket Rath, Bharathan Sundar, Cyril Branciard, John Preskill, Andreas Elben
- article
- PRX Quantum, 2024, 5 (1), pp.010352. ⟨10.1103/PRXQuantum.5.010352⟩
- Accès au bibtex
-
- titre
- Whence Nonlocality? Removing spooky action at a distance from the de Broglie Bohm pilot-wave theory using a time-symmetric version of de Broglie double solution
- auteur
- Aurélien Drezet
- article
- Symmetry, 2024, 16 (1), pp.8. ⟨10.3390/sym16010008⟩
- Accès au bibtex
-
- titre
- (Once more) In defense of Relational Quantum Mechanics: A note on `Relative facts do not exist. Relational quantum mechanics is incompatible with quantum mechanics
- auteur
- Aurélien Drezet
- article
- Found.Phys., 2024, 54 (1), pp.5. ⟨10.1007/s10701-023-00743-5⟩
- Accès au bibtex
-
- titre
- Stability of long-sustained oscillations induced by electron tunneling
- auteur
- Jorge Tabanera-Bravo, Florian Vigneau, Juliette Monsel, Kushagra Aggarwal, Léa Bresque, Federico Fedele, Federico Cerisola, G.A.D. Briggs, Janet Anders, Alexia Auffèves, Juan M.R. Parrondo, Natalia Ares
- article
- Phys.Rev.Res., 2024, 6 (1), pp.013291. ⟨10.1103/PhysRevResearch.6.013291⟩
- Accès au bibtex
-
- titre
- Hydrogen adsorption on Ni-functionalized saponites and their precursor gel
- auteur
- L Masci, Laurent Truche, Valérie Magnin, Martine Lanson, A Moya, Patricia de Rango, N Finding, Lanson Bruno, A Viola, Jonathan Deseure, M Abdelsater, Pascale Launois, G Joubert, H Toubon
- article
- International Journal of Hydrogen Energy, 2024, 58, pp.79-92. ⟨10.1016/j.ijhydene.2023.10.314⟩
- Accès au texte intégral et bibtex
-
- titre
- A proposal for a new kind of spontaneous collapse model
- auteur
- Nicolò Piccione
- article
- Foundations of Physics, 2024, 54 (1), pp.4. ⟨10.1007/s10701-023-00739-1⟩
- Accès au bibtex
-
- titre
- Large Second-Order Josephson Effect in Planar Superconductor-Semiconductor Junctions
- auteur
- P. Zhang, A. Zarassi, L. Jarjat, V. van de Sande, M. Pendharkar, J. S. Lee, C. P. Dempsey, A. P. Mcfadden, S. D. Harrington, J. T. Dong, H. Wu, A. -H. Chen, Moïra Hocevar, C. J. Palmstrøm, S. M. Frolov
- article
- SciPost Physics, 2024, 16 (1), pp.030. ⟨10.21468/SciPostPhys.16.1.030⟩
- Accès au texte intégral et bibtex
-
Communication dans un congrès
- titre
- Vertical 1kV deep depletion diamond MOSFET: optimization and compact model
- auteur
- Nicolas C. Rouger, Marine Couret, Ralph Makhoul, Juliette Letellier, Julien Pernot
- article
- 28th international Hasselt Diamond Workshop (SBDD XXVIII), Feb 2024, Hasselt (Belgium), Belgium
- Accès au bibtex
-
- titre
- First interdigitated diamond FET toward industrial power electronic
- auteur
- Damien Michez, Juliette Letellier, Marine Couret, Julien Pernot, Nicolas C. Rouger
- article
- 28th international Hasselt Diamond Workshop SBDD XXVIII, Feb 2024, Hasselt, Belgium
- Accès au bibtex
-
Pré-publication, Document de travail
- titre
- Nuclear spin-spin interactions in CdTe probed by zero and ultra-low-field optically detected NMR
- auteur
- V. M. Litvyak, P. Bazhin, Régis André, Masha Vladimirova, K. V. Kavokin
- article
- 2024
- Accès au texte intégral et bibtex
-
- titre
- Nuclear spin relaxation mediated by donor-bound and free electrons in wide CdTe quantum wells
- auteur
- Boris Gribakin, Valentina Litvyak, Mladen Kotur, Régis André, Maria Vladimirova, Dmitri Yakovlev, Kirill Kavokin
- article
- 2024
- Accès au texte intégral et bibtex
-
- titre
- Coupling a single spin to high-frequency motion
- auteur
- Federico Fedele, Federico Cerisola, Lea Bresque, Florian Vigneau, Juliette Monsel, Jorge Tabanera, Kushagra Aggarwal, Jonathan Dexter, Sofia Sevitz, Joe Dunlop, Alexia Auffèves, Juan Parrondo, András Pályi, Janet Anders, Natalia Ares
- article
- 2024
- Accès au bibtex
-
- titre
- Experimental set-up for thermal measurements at the nanoscale using an SThM probe with niobium nitride thermometer
- auteur
- R Swami, G Julié, S Le-Denmat, G Pernot, D Singhal, J Paterson, J Maire, J F Motte, N Paillet, Hervé Guillou, Séverine Gomés, Olivier Bourgeois
- article
- 2024
- Accès au texte intégral et bibtex
-
- titre
- Electron Paramagnetic Resonance spectroscopy of a scheelite crystal using microwave photon counting
- auteur
- E Billaud, L Balembois, J Travesedo, M. Le Dantec, M Rančić, E Albertinale, R Truong, S Bertaina, Thierry Chanelière, P Goldner, D Estève, D Vion, E Flurin, P Bertet
- article
- 2024
- Accès au bibtex
-
- titre
- Small-angle X-ray resonant magnetic scattering at the Co M$_{2,3}$ and L$_3$ edges observed with photoemission electron microscopy
- auteur
- Alexis Wartelle, Marisel Di Pietro Martínez, Olivier Fruchart, Philippe David, Guillaume Beutier
- article
- 2024
- Accès au texte intégral et bibtex
-
- titre
- Dual-adatom diffusion-limited growth model for compound nanowires: Application to InAs nanowires
- auteur
- Danylo Mosiiets, Yann Genuist, Joel Cibert, Edith Bellet-Amalric, Moïra Hocevar
- article
- 2024
- Accès au bibtex
-
- titre
- Opto-RF transduction in Er3+:CaWO4
- auteur
- Thierry Chanelière, Rémi Dardaillon, Pierre Lemonde, Jeremie Viennot, Emmanuel Flurin, Patrice Bertet, Diana Serrano, Philippe Goldner
- article
- 2024
- Accès au bibtex
-
- titre
- Evidence for correlated electron pairs and triplets in quantum Hall interferometers
- auteur
- Wenmin Yang, David Perconte, Corentin Déprez, Kenji Watanabe, Takashi Taniguchi, Sylvain Dumont, Edouard Wagner, Frédéric Gay, Inès Safi, Hermann Sellier, Benjamin Sacépé
- article
- 2024
- Accès au texte intégral et bibtex
-
2023
Article dans une revue
- titre
- Pressure induced formation of cubic lutetium hydrides derived from trigonal LuH3
- auteur
- Owen Moulding, Samuel Gallego-Parra, Yingzheng Gao, Pierre Toulemonde, Gaston Garbarino, Patricia de Rango, Sébastien Pairis, Pierre Giroux, Marie-Aude Méasson
- article
- Physical Review B, 2023, 108 (21), pp.214505. ⟨10.1103/PhysRevB.108.214505⟩
- Accès au bibtex
-
- titre
- Magnetism of 3d electrons in YCo12-xFexB6 compounds
- auteur
- B. Vallet-Simond, L.V.B. Diop, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2023, 587, pp.171307. ⟨10.1016/j.jmmm.2023.171307⟩
- Accès au texte intégral et bibtex
-
- titre
- Theoretical description of the magnetocaloric effect in Pr0.67Sr0.33MnO3 manganite using the mean-field theory
- auteur
- W. Mabrouki, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Applied physics. A, Materials science & processing, 2023, 129 (12), pp.868. ⟨10.1007/s00339-023-07133-8⟩
- Accès au bibtex
-
- titre
- Role of a capping layer on the crystalline structure of Sn thin films grown at cryogenic temperatures on InSb substrates
- auteur
- An-Hsi Chen, Connor Dempsey, Mihir Pendharkar, Amritesh Sharma, Bomin Zhang, Susheng Tan, Ludovic Bellon, Sergey Frolov, Christopher Palmstrøm, Edith Bellet-Amalric, Moïra Hocevar
- article
- Nanotechnology, 2023, 35 (7), pp.075702. ⟨10.1088/1361-6528/ad079e⟩
- Accès au texte intégral et bibtex
-
- titre
- Intracellular Fate of Sub-Toxic Concentration of Functionalized Selenium Nanoparticles in Aggressive Prostate Cancer Cells
- auteur
- Caroline Bissardon, Olivier Proux, Salvatore Andrea Gazze, Odile Filhol, Benoît Toubhans, Lucie Sauzéat, Sylvain Bouchet, Aled R. Lewis, Thierry Maffeis, Jean-Louis Hazemann, Sam Bayat, Peter Cloetens, R. Steven Conlan, Laurent Charlet, Sylvain Bohic
- article
- Nanomaterials, 2023, 13 (23), pp.2999. ⟨10.3390/nano13232999⟩
- Accès au texte intégral et bibtex
-
- titre
- Hybrid CdSe/ZnS Quantum Dot–Gold Nanoparticle Composites Assembled by Click Chemistry: Toward Affordable and Efficient Redox Photocatalysts Working with Visible Light
- auteur
- Ali Dabbous, Pierre Bauer, Coralie Marcucci, Sandy Périé, Sapna Gahlot, Christian Lombard, Sylvain Caillat, Jean-Luc Ravanat, Jean-Marie Mouesca, Stéphanie Kodjikian, A. Barbara, Fabien Dubois, Vincent Maurel
- article
- ACS Applied Materials & Interfaces, 2023, 15 (48), pp.56167-56180. ⟨10.1021/acsami.3c12620⟩
- Accès au bibtex
-
- titre
- Piezo-orbital backaction force in a rare-earth-doped crystal
- auteur
- A. Louchet-Chauvet, P. Verlot, J.-P. Poizat, T. Chanelière
- article
- Physical Review Applied, 2023, 20 (5), pp.054004. ⟨10.1103/PhysRevApplied.20.054004⟩
- Accès au bibtex
-
- titre
- Multi-mode Heterodyne Laser InterferometryRealized via Software Defined Radio
- auteur
- Xiuji Lin, M. T. Hartman, Shuo Zhang, Signe Seidelin, Bess Fang, Yann Le Coq
- article
- Optics Express, 2023, 31 (23), pp.38475-38493. ⟨10.1364/OE.500077⟩
- Accès au texte intégral et bibtex
-
- titre
- VO2 under hydrostatic pressure: Isostructural phase transition close to a critical end-point
- auteur
- Pierre Bouvier, L. Bussmann, D. Machon, I. Breslavetz, G. Garbarino, P. Strobel, V. Dmitriev
- article
- Physical Review B, 2023, 108 (14), pp.144106. ⟨10.1103/PhysRevB.108.144106⟩
- Accès au texte intégral et bibtex
-
- titre
- Mapping domain junctions using 4D-STEM: toward controlled properties of epitaxially grown transition metal dichalcogenide monolayers
- auteur
- Djordje Dosenovic, Samuel Dechamps, Celine Vergnaud, Sergej Pasko, Simonas Krotkus, Michael Heuken, Luigi Genovese, Jean-Luc Rouviere, Martien den Hertog, Lucie Le Van-Jodin, Matthieu Jamet, Alain Marty, Hanako Okuno
- article
- 2D Materials, 2023, 10 (4), pp.045024. ⟨10.1088/2053-1583/acf3f9⟩
- Accès au texte intégral et bibtex
-
- titre
- Dry powders reflectance model based on enhanced backscattering: case of hematite α–Fe2O3
- auteur
- Morgane Gerardin, Pauline Martinetto, Nicolas Holzschuch
- article
- Journal of the Optical Society of America. A Optics, Image Science, and Vision, 2023, 40 (9), pp.1817-1830. ⟨10.1364/JOSAA.487498⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of the Impact of Point Defects in InGaN/GaN Quantum Wells with High Dislocation Densities
- auteur
- Pierre Lottigier, Davide Maria Di Paola, Duncan T L Alexander, Thomas F K Weatherley, Pablo Sáenz de Santa María Modroño, Danxuan Chen, Gwénolé Jacopin, Jean-François Carlin, Raphaël Butté, Nicolas Grandjean
- article
- Nanomaterials, 2023, 13 (18), pp.2569. ⟨10.3390/nano13182569⟩
- Accès au texte intégral et bibtex
-
- titre
- Collective magnetic state induced by charge disorder in the non-Kramers rare-earth pyrochlore Tb2ScNbO7
- auteur
- Yann Alexanian, Elsa Lhotel, Rafik Ballou, Claire Colin, Holger Klein, Antonin Le Priol, Flavien Museur, Julien Robert, E. Pachoud, Pascal Lejay, Abdellali Hadj-Azzem, Bjorn Fåk, Quentin Berrod, Jean-Marc Zanotti, Emmanuelle Suard, Catherine Dejoie, Sophie de Brion, Virginie Simonet
- article
- Physical Review Materials, 2023, 7 (9), pp.094403. ⟨10.1103/PhysRevMaterials.7.094403⟩
- Accès au bibtex
-
- titre
- Boosting the piezoelectric coefficients of flexible dynamic strain sensors made of chemically-deposited ZnO nanowires using compensatory Sb doping
- auteur
- José Villafuerte, Xiaoting Zhang, Eirini Sarigiannidou, Fabrice Donatini, Odette Chaix-Pluchery, Laetitia Rapenne, Minh-Quyen Le, Lionel Petit, Julien Pernot, Vincent Consonni
- article
- Nano Energy, 2023, 114, pp.108599. ⟨10.1016/j.nanoen.2023.108599⟩
- Accès au texte intégral et bibtex
-
- titre
- Porous silicon-nanowire-based electrode for the photoelectrocatalytic production of hydrogen
- auteur
- Jingxian Wang, Caroline Keller, Paul Olli, Pascal Gentile, Stéphanie Pouget, Hanako Okuno, Mohamed Boutghatin, Yan Pennec, Hannah Johnson, Valérie Reita, Adina Morozan, Pascale Chenevier, Duc Nguyen, Vincent Artero
- article
- Sustainable Energy & Fuels, 2023, 7, pp.4864-4876. ⟨10.1039/D3SE00408B⟩
- Accès au texte intégral et bibtex
-
- titre
- Severe Plastic Deformation by Fast Forging to Easy Produce Hydride from Bulk Mg-Based Alloys
- auteur
- Daniel Fruchart, Nataliya Skryabina, Patricia de Rango, Marjan Fouladvind, Valery Aptukov
- article
- Materials Transactions, 2023, 64 (8), pp.1886-1893. ⟨10.2320/matertrans.mt-mf2022049⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-efficient entanglement generation and readout in a spin-photon interface
- auteur
- Maria Maffei, Bruno O. Goes, Stephen C. Wein, Andrew N. Jordan, Loïc Lanco, Alexia Auffèves
- article
- Quantum, 2023, 7, pp.1099. ⟨10.22331/q-2023-08-31-1099⟩
- Accès au texte intégral et bibtex
-
- titre
- Doping-independent 120° magnetism in the quadruple perovskite CaMn3V4O12
- auteur
- Fabio Denis Romero, Kunlang Ji, Claire Colin, J. Paul Attfield
- article
- Physical Review B, 2023, 108 (6), pp.064422. ⟨10.1103/PhysRevB.108.064422⟩
- Accès au texte intégral et bibtex
-
- titre
- Lattice dynamics and spin excitations in the metal-organic framework [CH3NH3][Co(HCOO)3]
- auteur
- Lei Ding, Claire Colin, V. Simonet, Chris Stock, Jean-Blaise Brubach, Marine Verseils, Pascale Roy, Victoria Garcia Sakai, Michael Koza, Andrea Piovano, Alexandre Ivanov, Jose Rodriguez-Rivera, Sophie de Brion, Manila Songvilay
- article
- Physical Review Materials, 2023, 7 (8), pp.084405. ⟨10.1103/PhysRevMaterials.7.084405⟩
- Accès au bibtex
-
- titre
- GaN and AlGaN/AlN nanowire ensembles for ultraviolet photodetectors: effects of planarization with hydrogen silsesquioxane and nanowire architecture
- auteur
- Elçin Akar, Ioanna Dimkou, Akhil Ajay, Eric Robin, Martien Ilse den Hertog, Eva Monroy
- article
- ACS Applied Nano Materials, 2023, 6 (14), pp.12792-12804. ⟨10.1021/acsanm.3c01496⟩
- Accès au texte intégral et bibtex
-
- titre
- Pressure-induced nonmonotonic cross-over of steady relaxation dynamics in a metallic glass
- auteur
- Xin Zhang, Hongbo Lou, Beatrice Ruta, Yuriy Chushkin, Federico Zontone, Shubin Li, Dazhe Xu, Tao Liang, Zhidan Zeng, Ho-Kwang Mao, Qiaoshi Zeng
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2023, 120 (24), pp.e2302281120. ⟨10.1073/pnas.2302281120⟩
- Accès au texte intégral et bibtex
-
- titre
- Interface birefringence in asymmetric CdTe/CdZnTe quantum wells
- auteur
- L. Kotova, A. Platonov, Régis André, H. Mariette, V. Kochereshko
- article
- Physical Review B, 2023, 107 (23), pp.235302. ⟨10.1103/PhysRevB.107.235302⟩
- Accès au bibtex
-
- titre
- Nature and coordination geometry of geologically relevant aqueous Uranium(VI) complexes up to 400 ºC: A review and new data
- auteur
- Alexander Kalintsev, Qiushi Guan, Joël Brugger, Artas Migdisov, Barbara Etschmann, Rahul Ram, Weihua Liu, Yuan Mei, Denis Testemale, Hongwu Xu
- article
- Journal of Hazardous Materials, 2023, 452, pp.131309. ⟨10.1016/j.jhazmat.2023.131309⟩
- Accès au texte intégral et bibtex
-
- titre
- Low temperature cluster glass behavior in nanosized La0.5-xHoxCa0.5MnO3 (0 ≤ x ≤ 0.15) manganites
- auteur
- S. Dhieb, A. Krichene, F. Fettar, Nassira Boudjada, W. Boujelben
- article
- Journal of Solid State Chemistry, 2023, 322, pp.123967. ⟨10.1016/j.jssc.2023.123967⟩
- Accès au bibtex
-
- titre
- In situ analysis of phase constituents evolution upon hydrogen cycling of cold-forged Mg-Ni powders
- auteur
- Jing Wen, Laetitia Laversenne, Marc Novelli, Thierry Grosdidier, Patricia de Rango
- article
- Journal of Alloys and Compounds, 2023, 947, pp.169543. ⟨10.1016/j.jallcom.2023.169543⟩
- Accès au texte intégral et bibtex
-
- titre
- Surface defects related to polishing cycle in ß-Ga2O3 crystals grown by floating zone
- auteur
- Coralie Perrier, Aboulaye Traoré, Toshimitsu Ito, Hitoshi Umezawa, Etienne Gheeraert, Philippe Ferrandis
- article
- Applied Physics Letters, 2023, 122 (22), pp.222105. ⟨10.1063/5.0149969⟩
- Accès au texte intégral et bibtex
-
- titre
- Generation of thermal scattering laws with the CINEL code
- auteur
- G. Noguere, S. Xu, L. Desgrange, J. Boucher, E. Bourasseau, G. Carlot, A. Filhol, J. Ollivier, T. Hansen, V. Nassif, I. Ouente Orench, Claire Colin, L. Laversenne, J.-M. Zanotti, Quentin Berrod
- article
- EPJ Web of Conferences, 2023, 284, pp.17002. ⟨10.1051/epjconf/202328417002⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparing the quantum switch and its simulations with energetically constrained operations
- auteur
- Marco Fellous-Asiani, Raphaël Mothe, Léa Bresque, Hippolyte Dourdent, Patrice A. Camati, Alastair A. Abbott, Alexia Auffèves, Cyril Branciard
- article
- Physical Review Research, 2023, 5 (2), pp.023111. ⟨10.1103/PhysRevResearch.5.023111⟩
- Accès au texte intégral et bibtex
-
- titre
- Elucidation of Metal-Sugar Complexes : When Tungstate Combines with D-Mannose
- auteur
- Sabah El Mohammad, Olivier Proux, Antonio Aguilar, Jean-Louis F Hazemann, Christèle Legens, Céline Chizallet, Kim Larmier
- article
- Inorganic Chemistry, 2023, 62 (19), pp.7545-7556. ⟨10.1021/acs.inorgchem.3c00911⟩
- Accès au texte intégral et bibtex
-
- titre
- Absence of edge reconstruction for quantum Hall edge channels in graphene devices
- auteur
- Alexis Coissard, Adolfo G. Grushin, Cécile Repellin, Louis Veyrat, Kenji Watanabe, Takashi Taniguchi, Frédéric Gay, Hervé Courtois, Hermann Sellier, Benjamin Sacépé
- article
- Science Advances , 2023, 9 (19), pp.adf7220. ⟨10.1126/sciadv.adf7220⟩
- Accès au texte intégral et bibtex
-
- titre
- Giant magnetostriction effect in LaFe12B6 metamagnet
- auteur
- L. V. B. Diop, J. Prokleška, O. Isnard
- article
- Applied Physics Letters, 2023, 122 (19), pp.192402. ⟨10.1063/5.0144348⟩
- Accès au texte intégral et bibtex
-
- titre
- Ionic field screening in MAPbBr3 crystals revealed from remnant sensitivity in X-ray detection
- auteur
- Agustin Alvarez, Ferdinand Lédée, Marisé García-Batlle, Pilar López-Varo, Eric Gros-Daillon, Javier Mayén Guillén, Jean-Marie Verilhac, Thibault Lemercier, Julien Zaccaro, Lluis Marsal, Germà Garcia-Belmonte, Osbel Almora
- article
- ACS Physical Chemistry Au, 2023, 3 (4), pp.386-393. ⟨10.1021/acsphyschemau.3c00002⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence for chiral supercurrent in quantum Hall Josephson junctions
- auteur
- Hadrien Vignaud, David Perconte, Wenmin Yang, Bilal Kousar, Edouard Wagner, Frédéric Gay, Kenji Watanabe, Takashi Taniguchi, Hervé Courtois, Zheng Han, Hermann Sellier, Benjamin Sacépé
- article
- Nature, 2023, 624, pp.545-550. ⟨10.1038/s41586-023-06764-4⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of high pressure on the remarkable itinerant electron behavior in Y0.7Er0.3Fe2D4.2 compound
- auteur
- Zdenek Arnold, Olivier Isnard, Valerie Paul-Boncour
- article
- Journal of Applied Physics, 2023, 133 (17), pp.173901. ⟨10.1063/5.0141855⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen Solid State Storage on MgH2 Compacts for Mass Applications
- auteur
- Daniel Fruchart, Michel Jehan, Nataliya Skryabina, Patricia de Rango
- article
- Metals, 2023, 13 (5), pp.992. ⟨10.3390/met13050992⟩
- Accès au texte intégral et bibtex
-
- titre
- New pathways to control the evolution of the atomic motion in metallic glasses
- auteur
- Antoine Cornet, Beatrice Ruta
- article
- Comptes Rendus. Physique, 2023, 24, pp.149. ⟨10.5802/crphys.149⟩
- Accès au texte intégral et bibtex
-
- titre
- Exciton dynamics in CdTe/CdZnTe quantum well
- auteur
- A. V. Mikhailov, A. S. Kurdyubov, E. S. Khramtsov, I. V. Ignatiev, B. F. Gribakin, S. Cronenberger, D. Scalbert, M. Vladimirova, Régis André
- article
- Fizika i tekhnika poluprovodnicov / Semiconductors, 2023, 7, pp.586
- Accès au texte intégral et bibtex
-
- titre
- Effects of GaN channel downscaling in AlGaN–GaN high electron mobility transistor structures grown on AlN bulk substrate
- auteur
- Reda Elwaradi, Jash Mehta, Thi Huong Ngo, Maud Nemoz, Catherine Bougerol, Farid Medjdoub, Yvon Cordier
- article
- Journal of Applied Physics, 2023, 133 (14), pp.145705. ⟨10.1063/5.0147048⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherent imaging and dynamics of excitons in MoSe 2 monolayers epitaxially grown on hexagonal boron nitride
- auteur
- Karolina Ewa Połczyńska, Simon Le Denmat, Takashi Taniguchi, Kenji Watanabe, Marek Potemski, Piotr Kossacki, Wojciech Pacuski, Jacek Kasprzak
- article
- Nanoscale, 2023, 15 (15), pp.6941-6946. ⟨10.1039/D2NR04844B⟩
- Accès au bibtex
-
- titre
- High-rate entanglement between a semiconductor spin and indistinguishable photons
- auteur
- N. Coste, D. Fioretto, N. Belabas, S. Wein, P. Hilaire, R. Frantzeskakis, M. Gundin, B. Goes, N. Somaschi, M. Morassi, A. Lemaître, I. Sagnes, A. Harouri, S. Economou, A. Auffeves, O. Krebs, L. Lanco, P. Senellart
- article
- Nature Photonics, 2023, 17, pp.582-587. ⟨10.1038/s41566-023-01186-0⟩
- Accès au bibtex
-
- titre
- Controlled coherent-coupling and dynamics of exciton complexes in a MoSe 2 monolayer
- auteur
- Aleksander Rodek, Thilo Hahn, James Howarth, Takashi Taniguchi, Kenji Watanabe, Marek Potemski, Piotr Kossacki, Daniel Wigger, Jacek Kasprzak
- article
- 2D Materials, 2023, 10 (2), pp.025027. ⟨10.1088/2053-1583/acc59a⟩
- Accès au bibtex
-
- titre
- M -plane AlGaN digital alloy for microwire UV-B LEDs
- auteur
- Lucie Valera, Vincent Grenier, Sylvain Finot, C. Bougerol, Joël Eymery, Gwénolé Jacopin, Christophe Durand
- article
- Applied Physics Letters, 2023, 122 (14), pp.141101. ⟨10.1063/5.0141568⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrathin GaN quantum wells in AlN nanowires for UV-C emission
- auteur
- Rémy Vermeersch, Gwénolé Jacopin, Florian Castioni, Jean-Luc Rouvière, Alberto Garcia-Cristobal, Ana Cros, Julien Pernot, Bruno Daudin
- article
- Nanotechnology, 2023, 34, pp.275603. ⟨10.1088/1361-6528/accaeb⟩
- Accès au texte intégral et bibtex
-
- titre
- Three-dimensional tomographic imaging of the magnetization vector field using Fourier transform holography
- auteur
- Marisel Di Pietro Martínez, Alexis Wartelle, Carlos Herrero Martínez, Farid Fettar, Florent Blondelle, Jean-François Motte, Claire Donnelly, Luke Turnbull, Feodor Ogrin, Gerrit van der Laan, Horia Popescu, Nicolas Jaouen, Flora Yakhou-Harris, Guillaume Beutier
- article
- Physical Review B, 2023, 107 (9), pp.094425. ⟨10.1103/PhysRevB.107.094425⟩
- Accès au texte intégral et bibtex
-
- titre
- On-Chip Electrostatic Actuation of a Photonic Wire Antenna Embedding Quantum Dots
- auteur
- Matteo Finazzer, Rana Tanos, Yoann Curé, Alberto Artioli, Saptarshi Kotal, Joël Bleuse, Yann Genuist, Jean-Michel Gérard, Fabrice Donatini, Julien Claudon
- article
- Nano Letters, 2023, 23 (6), pp.2203-2209. ⟨10.1021/acs.nanolett.2c04813⟩
- Accès au bibtex
-
- titre
- Epitaxial van der Waals heterostructures of Cr2Te3 on 2D materials
- auteur
- Quentin Guillet, Libor Vojacek, Djordje Dosenovic, Fatima Ibrahim, Herve Boukari, Fadi Choueikani, Philippe Ohresser, Abdelkarim Ouerghi, Florie Mesple, Vincent Renard, Jean-Francois Jacquot, Denis Jalabert, Mairbek Chshiev, Hanako Okuno, Celine Vergnaud, Frédéric Bonell, Alain Marty, Matthieu Jamet
- article
- Physical Review Materials, 2023, 7 (5), pp.054005. ⟨10.1103/PhysRevMaterials.7.054005⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherent optical-microwave interface for manipulation of low-field electronic clock transitions in 171Yb3+:Y2SiO5
- auteur
- L. Nicolas, M. Businger, T. Sanchez Mejia, A. Tiranov, Thierry Chanelière, E. Lafitte-Houssat, A. Ferrier, P. Goldner, M. Afzelius
- article
- npj Quantum Information, 2023, 9, pp.21. ⟨10.1038/s41534-023-00687-8⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental determination of the H–Hf phase diagram using in situ neutron diffraction
- auteur
- Maxime Dottor, Jean-Claude Crivello, L. Laversenne, Jean-Marc Joubert
- article
- Journal of Alloys and Compounds, 2023, 937, pp.168353. ⟨10.1016/j.jallcom.2022.168353⟩
- Accès au texte intégral et bibtex
-
- titre
- Interplay between boron doping and epitaxial relationships in VO 2 films grown by laser ablation
- auteur
- Aude Bailly, Pierre Bouvier, Stéphane Grenier, Thameur Hajlaoui, Michael Gaudin, Aline Y. Ramos, Mohamed Chaker, Laetitia Laversenne
- article
- Thin Solid Films, 2023, 768, pp.139729. ⟨10.1016/j.tsf.2023.139729⟩
- Accès au texte intégral et bibtex
-
- titre
- Review: On the complex magnetic phase diagram of the MnRuxRh1−xAs system,crystal, a.c. susceptibility, magnetization and electronic structure characterizations
- auteur
- D. Szymański, R. Zach, J. Tobola, W. Chajec, R. Duraj, Ł. Gondek, S. Baran, M. Michalec, P. Chaudouet, S. Haj-Khlifa, E.K. Hlil, D. Fruchart
- article
- Journal of Alloys and Compounds, 2023, 938, pp.168602. ⟨10.1016/j.jallcom.2022.168602⟩
- Accès au bibtex
-
- titre
- Field-Plated D3MOSFET design for breakdown voltage improvement
- auteur
- Marine Couret, Damien Michez, Juliette Letellier, Anne Castelan, Julien Pernot, Nicolas C. Rouger
- article
- Diamond and Related Materials, 2023, 135, pp.109827. ⟨10.1016/j.diamond.2023.109827⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical properties of Ga-doped AlN nanowires
- auteur
- Rémy Vermeersch, Gwénolé Jacopin, Eric Robin, Julien Pernot, Bruno Gayral, Bruno Daudin
- article
- Applied Physics Letters, 2023, 122 (9), pp.091106. ⟨10.1063/5.0137424⟩
- Accès au texte intégral et bibtex
-
- titre
- Non-volatile tuning of normally-on and off states of deep depletion ZrO 2 /Oterminated high voltage diamond MOSFET
- auteur
- Beatriz Soto, Marine Couret, Jesús Cañas, Anne Castelan, Nicolas C. Rouger, Daniel Araujo, María del Pilar Villar, Julien Pernot
- article
- Diamond and Related Materials, 2023, 134, pp.109802. ⟨10.1016/j.diamond.2023.109802⟩
- Accès au texte intégral et bibtex
-
- titre
- Amorphous-amorphous transformation induced in glasses by intense X-ray beams
- auteur
- E. Alfinelli, F. Caporaletti, F. Dallari, A. Martinelli, G. Monaco, Beatrice Ruta, M. Sprung, M. Zanatta, G. Baldi
- article
- Physical Review B, 2023, 107 (5), pp.054202. ⟨10.1103/PhysRevB.107.054202⟩
- Accès au texte intégral et bibtex
-
- titre
- Probing quantum correlations in many-body systems: a review of scalable methods
- auteur
- Irénée Frérot, Matteo Fadel, Maciej Lewenstein
- article
- Reports on Progress in Physics, 2023, 86 (11), pp.114001. ⟨10.1088/1361-6633/acf8d7⟩
- Accès au texte intégral et bibtex
-
- titre
- Deleterious effect of rare earth elements substitution on the auxetic behavior of CoFe2O4 thin films
- auteur
- Elyette Martin, Stéphane Grenier, Sophie Barre, Gilles Versini, Nils Blanc, Nathalie Boudet, François Roulland, Genevieve Pourroy, Nathalie Viart, Christophe Lefevre
- article
- Journal of Alloys and Compounds, 2023, 935 (Part 2), pp.168082. ⟨10.1016/j.jallcom.2022.168082⟩
- Accès au bibtex
-
- titre
- Entanglement Barrier and its Symmetry Resolution: Theory and Experimental Observation
- auteur
- Aniket Rath, Vittorio Vitale, Sara Murciano, Matteo Votto, Jérôme Dubail, Richard Kueng, Cyril Branciard, Pasquale Calabrese, Benoît Vermersch
- article
- PRX Quantum, 2023, 4 (1), pp.010318. ⟨10.1103/PRXQuantum.4.010318⟩
- Accès au bibtex
-
- titre
- High Al-content AlGaN channel high electron mobility transistors on silicon substrate
- auteur
- Jash Mehta, Idriss Abid, Julien Bassaler, Julien Pernot, Philippe Ferrandis, M Nemoz, Yvon Cordier, S Rennesson, S Tamariz, F Semond, F Medjdoub
- article
- e-Prime – Advances in Electrical Engineering, Electronics and Energy, 2023, 3, pp.100114. ⟨10.1016/j.prime.2023.100114⟩
- Accès au texte intégral et bibtex
-
- titre
- Physics-informed machine learning combining experiment and simulation for the design of neodymium-iron-boron permanent magnets with reduced critical-elements content
- auteur
- Alexander Kovacs, Johann Fischbacher, Harald Oezelt, Alexander Kornell, Qais Ali, Markus Gusenbauer, Masao Yano, Noritsugu Sakuma, Akihito Kinoshita, Tetsuya Shoji, Akira Kato, Yuan Hong, Stéphane Grenier, Thibaut Devillers, Nora Dempsey, Tetsuya Fukushima, Hisazumi Akai, Naoki Kawashima, Takashi Miyake, Thomas Schrefl
- article
- Frontiers in Materials, 2023, 9, pp.1094055. ⟨10.3389/fmats.2022.1094055⟩
- Accès au texte intégral et bibtex
-
- titre
- Improved Power Factor in Self-Substituted Fe 2 VAl Thermoelectric Thin Films Prepared by Co-sputtering
- auteur
- Daniel Bourgault, Hajar Hajoum, Sébastien Pairis, Olivier Leynaud, Richard Haettel, Jean François Motte, Olivier Rouleau, Eric Alleno
- article
- ACS Applied Energy Materials, 2023, 6 (3), pp.1526-1532. ⟨10.1021/acsaem.2c03405⟩
- Accès au texte intégral et bibtex
-
- titre
- First Principles Assessment of CdTe as a Tunnel Barrier at the $\mathbf{\alpha}$-Sn/InSb Interface
- auteur
- Malcolm J. A. Jardine, Derek Dardzinski, Maituo Yu, Amrita Purkayastha, A. -H. Chen, Yu-Hao Chang, Aaron Engel, Vladimir N. Strocov, Moïra Hocevar, Chris J. Palmstrøm, Sergey M. Frolov, Noa Marom
- article
- ACS Applied Materials & Interfaces, 2023, 15 (12), pp.16288-16298. ⟨10.1021/acsami.3c00323⟩
- Accès au bibtex
-
- titre
- First-order thermal insensitivity of the frequency of a narrow spectral hole in a crystal
- auteur
- S. Zhang, S. Seidelin, R. Le Targat, P. Goldner, B. Fang, Y. Le Coq
- article
- Physical Review A, 2023, 107 (1), pp.013518. ⟨10.1103/PhysRevA.107.013518⟩
- Accès au texte intégral et bibtex
-
- titre
- Two new cubic perovskite oxides Ba3CoSb2O9 and Ba2SrCoSb2O9: Syntheses, crystal structures and magnetic properties
- auteur
- C. Darie, Pierre Bordet, Mélanie Viaud, Catherine Guillot-Deudon, Philippe Deniard, Eric Gautron, Claire Colin, Florence Porcher, Guido Berlanda, Fabrice Bert, Philippe Mendels, Christophe Payen
- article
- Journal of Solid State Chemistry, 2023, 317, pp.123701. ⟨10.1016/j.jssc.2022.123701⟩
- Accès au texte intégral et bibtex
-
- titre
- Possible high temperature superconducting transitions in disordered graphite obtained from room temperature deintercalated KC 8
- auteur
- Samar Layek, Miguel Monteverde, Gastón Garbarino, Marie-Aude Méasson, André Sulpice, Nedjma Bendiab, Pierre Rodière, Romain Cazali, Abdellali Hadj-Azzem, V. Nassif, Daniel Bourgault, Frédéric Gay, Didier Dufeu, Sébastien Pairis, Jean-Louis Hodeau, Manuel Núñez-Regueiro
- article
- Carbon, 2023, 201, pp.667-678. ⟨10.1016/j.carbon.2022.09.041⟩
- Accès au bibtex
-
- titre
- Microwave fluorescence detection of spin echoes
- auteur
- Eric Billaud, Leo Balembois, M. Le Dantec, Milos Rančić, Emanuele Albertinale, Sylvain Bertaina, Thierry Chanelière, Philippe Goldner, Daniel Estève, Denis Vion, Patrice Bertet, Emmanuel Flurin
- article
- Physical Review Letters, 2023, 131 (10), pp.100804. ⟨10.1103/PhysRevLett.131.100804⟩
- Accès au texte intégral et bibtex
-
- titre
- Bogoliubov Excitations Driven by Thermal Lattice Phonons in a Quantum Fluid of Light
- auteur
- Irénée Frérot, Amit Vashisht, Martina Morassi, Aristide Lemaître, Sylvain Ravets, Jacqueline Bloch, Anna Minguzzi, Maxime Richard
- article
- Phys.Rev.X, 2023, 13 (4), pp.041058. ⟨10.1103/PhysRevX.13.041058⟩
- Accès au texte intégral et bibtex
-
- titre
- In-situ deuteration study of LaFeSi into superconducting LaFeSi(H,D)
- auteur
- Mads Fonager Hansen, Jean-Baptiste Vaney, Patricia de Rango, Mathieu Salaun, Sophie Tencé, V. Nassif, Pierre Toulemonde
- article
- Journal of Alloys and Compounds, 2023, 945, pp.169281. ⟨10.1016/j.jallcom.2023.169281⟩
- Accès au texte intégral et bibtex
-
- titre
- Certifying the quantum Fisher information from a given set of mean values: a semidefinite programming approach
- auteur
- Guillem Müller-Rigat, Anubhav Kumar Srivastava, Stanisław Kurdziałek, Grzegorz Rajchel-Mieldzioć, Maciej Lewenstein, Irénée Frérot
- article
- Quantum, 2023, 7, pp.1152. ⟨10.22331/q-2023-10-24-1152⟩
- Accès au texte intégral et bibtex
-
- titre
- Widely tunable near-infrared optical parametric oscillator based on a 5%MgO:PPLN partial cylinder pumped at 1064 nm by a 1-kHz sub-nanosecond microchip laser
- auteur
- Baptiste Bruneteau, Basile Faure, Jérôme Debray, Grégoire Souhaité, Patricia Segonds, Hideki Ishizuki, Takunori Taira, Benoît Boulanger
- article
- Optics Letters, 2023, 48 (14), pp.3669-3672. ⟨10.1364/OL.492778⟩
- Accès au bibtex
-
- titre
- Anomalous energy exchanges and Wigner function negativities in a single qubit gate
- auteur
- Maria Maffei, Cyril Elouard, Bruno Goes, Benjamin Huard, Andrew Jordan, Alexia Auffèves
- article
- Physical Review A, 2023, 107 (2), pp.023710. ⟨10.1103/PhysRevA.107.023710⟩
- Accès au texte intégral et bibtex
-
- titre
- Exploring the Hydrogen Sorption Capabilities of a Novel Ti-V-Mn-Zr-Nb High-Entropy Alloy
- auteur
- Anis Bouzidi, Loïc Perrière, Erik Elkaim, Laetitia Laversenne, V. Nassif, Gavin Vaughan, Claudia Zlotea
- article
- Inorganics, 2023, 11 (5), pp.186. ⟨10.3390/inorganics11050186⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-frequency noise in quasi-ballistic monolithic Al–Ge–Al nanowire field effect transistors
- auteur
- R. Behrle, M. Sistani, A. Lugstein, Z. Sadre Momtaz, M I den Hertog, D. Pogany, W M Weber
- article
- Applied Physics Letters, 2023, 122 (24), pp.243504. ⟨10.1063/5.0147208⟩
- Accès au texte intégral et bibtex
-
- titre
- Bandit approach to conflict-free multi-agent Q-learning in view of photonic implementation
- auteur
- Hiroaki Shinkawa, Nicolas Chauvet, André Röhm, Takatomo Mihana, Ryoichi Horisaki, Guillaume Bachelier, Makoto Naruse
- article
- Intelligent Computing, 2023, 2, pp.0046. ⟨10.34133/icomputing.0046⟩
- Accès au bibtex
-
- titre
- Coherence-powered work exchanges between a solid-state qubit and light fields
- auteur
- I. Maillette de Buy Wenniger, S.E. Thomas, M. Maffei, S.C. Wein, M. Pont, A. Harouri, A. Lemaître, I. Sagnes, N. Somaschi, A. Auffèves, Pascale Senellart-Mardon
- article
- Physical Review Letters, 2023, 131 (26), pp.260401. ⟨10.1103/PhysRevLett.131.260401⟩
- Accès au bibtex
-
- titre
- Quantum solitodynamics: Non-linear wave mechanics and pilot-wave theory
- auteur
- Aurélien Drezet
- article
- Foundations of Physics, 2023, 53 (1), pp.31. ⟨10.1007/s10701-023-00671-4⟩
- Accès au bibtex
-
- titre
- Evidence for a build-in remnant field in symmetrically contacted MAPbB$_3$ X-ray detectors
- auteur
- Ferdinand Ledee, Javier-Alejandro Mayen Guillen, Stephanie Lombard, Julien Zaccaro, Jean-Marie Verilhac, Eric Gros d'Aillon
- article
- Journal of Applied Physics, 2023, 134, pp.195703. ⟨10.1063/5.0170580⟩
- Accès au texte intégral et bibtex
-
- titre
- Single electron-spin-resonance detection by microwave photon counting
- auteur
- Zhiren Wang, Léo Balembois, Milos Rančić, Eric Billaud, Marianne Le Dantec, Alban Ferrier, Philippe Goldner, Sylvain Bertaina, Thierry Chanelière, Daniel Estève, Denis Vion, Patrice Bertet, Emmanuel Flurin
- article
- Nature, 2023, 619 (7969), pp.276-281. ⟨10.1038/s41586-023-06097-2⟩
- Accès au bibtex
-
- titre
- An Elementary Proof That Everett’s Quantum Multiverse Is Nonlocal: Bell-Locality and Branch-Symmetry in the Many-Worlds Interpretation
- auteur
- Aurélien Drezet
- article
- Symmetry, 2023, 15 (6), pp.1250. ⟨10.3390/sym15061250⟩
- Accès au texte intégral et bibtex
-
- titre
- On the intrinsic pinning and shape of charge-density waves in 1D Peierls systems
- auteur
- Olivier Cepas, Pascal Quémerais
- article
- SciPost Physics, 2023, 14, pp.051. ⟨10.21468/SciPostPhys.14.3.051⟩
- Accès au texte intégral et bibtex
-
- titre
- Photon-pair production at the nanoscale with hybrid nonlinear/plasmonic antennas
- auteur
- Guillaume Laurent, Sandy Mathew, Nicolas Chauvet, Gilles Nogues, Aurélien Drezet, Guillaume Bachelier
- article
- Journal of the Optical Society of America B, 2023, 40 (8), pp.2085-2091. ⟨10.1364/JOSAB.492038⟩
- Accès au bibtex
-
- titre
- Can a Bohmian be a Rovellian for all practical purposes?
- auteur
- Aurélien Drezet
- article
- Foundations of Physics, 2023, 53, pp.30. ⟨10.1007/s10701-023-00670-5⟩
- Accès au bibtex
-
- titre
- Clubs et Commissions, les forces vives de la SFO
- auteur
- Benoît Boulanger, Juan Ariel Levenson
- article
- Photoniques, 2023, 120, pp.23-27. ⟨10.1051/photon/202312023⟩
- Accès au texte intégral et bibtex
-
- titre
- Cavity nano-optomechanics with suspended subwavelength-sized nanowires
- auteur
- Antoine Reigue, Francesco Fogliano, Philip Heringlake, Laure Mercier de Lépinay, Benjamin Besga, Jakob Reichel, Benjamin Pigeau, O Arcizet
- article
- Physical Review Applied, 2023, 20 (1), pp.014025. ⟨10.1103/PhysRevApplied.20.014025⟩
- Accès au texte intégral et bibtex
-
- titre
- Strain-mediated ion-ion interaction in rare-earth-doped solids
- auteur
- Anne Louchet-Chauvet, Thierry Chanelière
- article
- Journal of Physics: Condensed Matter, 2023, 35 (30), pp.305501. ⟨10.1088/1361-648X/acce17⟩
- Accès au bibtex
-
- titre
- High-Aspect-Ratio GaN p – i – n Nanowires for Linear UV Photodetectors
- auteur
- Bruno César da Silva, Adam Biegański, Christophe Durand, Zahra Sadre Momtaz, Anjali Harikumar, David Cooper, Eva Monroy, Martien Ilse den Hertog
- article
- ACS Applied Nano Materials, 2023, 6 (14), pp.12784-12791. ⟨10.1021/acsanm.3c01495⟩
- Accès au texte intégral et bibtex
-
- titre
- Controlled Coherent Coupling in a Quantum Dot Molecule Revealed by Ultrafast Four-Wave Mixing Spectroscopy
- auteur
- Daniel Wigger, Johannes Schall, Marielle Deconinck, Nikolai Bart, Paweł Mrowiński, Mateusz Krzykowski, Krzysztof Gawarecki, Martin von Helversen, Ronny Schmidt, Lucas Bremer, Frederik Bopp, Dirk Reuter, Andreas D Wieck, Sven Rodt, Julien Renard, Gilles Nogues, Arne Ludwig, Paweł Machnikowski, Jonathan J Finley, Stephan Reitzenstein, Jacek Kasprzak
- article
- ACS photonics, 2023, 10 (5), pp.1504-1511. ⟨10.1021/acsphotonics.3c00108⟩
- Accès au bibtex
-
- titre
- Asymmetric quantum decision-making
- auteur
- Honoka Shiratori, Hiroaki Shinkawa, André Röhm, Nicolas Chauvet, Etsuo Segawa, Jonathan Laurent, Guillaume Bachelier, Tomoki Yamagami, Ryoichi Horisaki, Makoto Naruse
- article
- Scientific Reports, 2023, 13 (1), pp.14636. ⟨10.1038/s41598-023-41715-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Many-body quantum vacuum fluctuation engines
- auteur
- Étienne Jussiau, Léa Bresque, Alexia Auffèves, Kater W. Murch, Andrew N. Jordan
- article
- Physical Review Research, 2023, 5 (3), pp.033122. ⟨10.1103/PhysRevResearch.5.033122⟩
- Accès au bibtex
-
- titre
- Photoinduced Current Transient Spectroscopy on Metal Halide Perovskites: Electron Trapping and Ion Drift
- auteur
- Giovanni Armaroli, Lorenzo Maserati, Andrea Ciavatti, Pierpaolo Vecchi, Alberto Piccioni, Martina Foschi, Valentina van der Meer, Chiara Cortese, Matias Feldman, Vito Foderà, Thibault Lemercier, Julien Zaccaro, Javier Mayén Guillén, Eric Gros-Daillon, Beatrice Fraboni, Daniela Cavalcoli
- article
- ACS Energy Letters, 2023, 8, pp.4371 - 4379. ⟨10.1021/acsenergylett.3c01429⟩
- Accès au texte intégral et bibtex
-
- titre
- Nonclassical Nucleation and Crystallization of LiNbO 3 Nanoparticles from the Aqueous Solvothermal Alkoxide Route
- auteur
- Florian Riporto, Ameni Dhouib, Adrian Gheata, Sandrine Beauquis, Emilie Molina, Simon Guené-Girard, Christine Galez, Aurélien Bornet, Isabelle Gautier-Luneau, Sandrine Gerber-Lemaire, Virginie Monnier, Yannick Mugnier, Ronan Le Dantec
- article
- Small, 2023, pp.2306417. ⟨10.1002/smll.202306417⟩
- Accès au texte intégral et bibtex
-
- titre
- A material view on extrinsic magnetic domain wall pinning in cylindrical CoNi nanowires
- auteur
- Michael Schöbitz, Ondrej Novotný, Beatrix Trapp, Sebastian Bochmann, Laurent Cagnon, Christophe Thirion, Aurélien Massebœuf, Eric Mossang, Olivier Fruchart, Julien Bachmann
- article
- Journal of Physical Chemistry C, 2023, 127 (5), pp.2387-2397. ⟨10.1021/acs.jpcc.2c07687⟩
- Accès au texte intégral et bibtex
-
- titre
- Ca2MnO3X (X = Cl, Br) Oxyhalides with 1-Dimensional Ferromagnetic Chains of Square-Planar S = 2 Mn3+
- auteur
- Fabio Denis Romero, Christophe Lepoittevin, Stéphanie Kodjikian, Claire Colin, Michael Hayward
- article
- Journal of the American Chemical Society, 2023, 145 (42), pp.23346-23351. ⟨10.1021/jacs.3c09088⟩
- Accès au texte intégral et bibtex
-
- titre
- A time-symmetric soliton dynamics à la de Broglie
- auteur
- Aurélien Drezet
- article
- Foundations of Physics, 2023, 53 (4), pp.72. ⟨10.1007/s10701-023-00711-z⟩
- Accès au bibtex
-
- titre
- Optical trapping in air on a single interference fringe
- auteur
- Aaron Schäpers, Olav Gaute Hellesø, Jochen Fick
- article
- Optics Communications, 2023, 537, pp.129412. ⟨10.1016/j.optcom.2023.129412⟩
- Accès au texte intégral et bibtex
-
- titre
- Periodic mesoporous organosilica nanoparticles: Morphology control and sorption properties
- auteur
- Xavier Cattoën, Stéphanie Kodjikian, Philippe Trens
- article
- Colloids and Surfaces A: Physicochemical and Engineering Aspects, 2023, 677, pp.132325. ⟨10.1016/j.colsurfa.2023.132325⟩
- Accès au texte intégral et bibtex
-
- titre
- Coupling of the triplet states of a negatively charged exciton in a quantum dot with the spin of a magnetic atom
- auteur
- L. Besombes, S. Ando, S. Kuroda, H. Boukari
- article
- Physical Review B, 2023, 107 (23), pp.235305. ⟨10.1103/PhysRevB.107.235305⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnesium hydride films deposited on flexible substrates: Structure, morphology and hydrogen sorption properties
- auteur
- Huy Le-Quoc, Marie Coste, Ana Lacoste, L. Laversenne
- article
- Journal of Alloys and Compounds, 2023, 955, pp.170272. ⟨10.1016/j.jallcom.2023.170272⟩
- Accès au texte intégral et bibtex
-
- titre
- MAPb(Br1–xClx)3 Hybrid Perovskite Materials for Direct X-ray Detection
- auteur
- Javier Mayén Guillén, Ferdinand Lédée, Oriane Baussens, Marian Chapran, Thibault Lemercier, Jean-Marie Verilhac, Eric Gros-Daillon, Alain Ibanez, Julien Zaccaro
- article
- ACS Applied Electronic Materials, 2023, 5 (3), pp.1866-1878. ⟨10.1021/acsaelm.3c00114⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimizing resource efficiencies for scalable full-stack quantum computers
- auteur
- Marco Fellous-Asiani, Jing Hao Chai, Yvain Thonnart, Hui Khoon Ng, Robert S. Whitney, Alexia Auffèves
- article
- PRX Quantum, 2023, 4 (4), pp.040319. ⟨10.1103/PRXQuantum.4.040319⟩
- Accès au texte intégral et bibtex
-
- titre
- Comprehensive Electro-Optical Investigation of a Ga-Doped AlN Nanowire LED for Applications in the UV-C Range
- auteur
- Rémy Vermeersch, Eric Robin, Gwénolé Jacopin, Bruno Gayral, Julien Pernot, Bruno Daudin
- article
- ACS Applied Nano Materials, 2023, 6 (15), pp.13945-13951. ⟨10.1021/acsanm.3c01705⟩
- Accès au texte intégral et bibtex
-
- titre
- Denser glasses relax faster: Enhanced atomic mobility and anomalous particle displacement under in-situ high pressure compression of metallic glasses
- auteur
- Antoine Cornet, Gaston Garbarino, Federico Zontone, Yuriy Chushkin, Jeroen Jacobs, Eloi Pineda, Thierry Deschamps, Shubin Li, Alberto Ronca, Jie Shen, Guillaume Morard, Nico Neuber, Maximilian Frey, Ralf Busch, Isabella Gallino, Mohamed Mezouar, Gavin Vaughan, Beatrice Ruta
- article
- Acta Materialia, 2023, 255, pp.119065. ⟨10.1016/j.actamat.2023.119065⟩
- Accès au texte intégral et bibtex
-
- titre
- Brightness and purity of a room-temperature single-photon source in the blue-green range
- auteur
- Francis Granger, Saransh Raj Gosain, Gilles Nogues, Edith Bellet-Amalric, Joel Cibert, David Ferrand, Kuntheak Kheng
- article
- Optics Letters, 2023, 48 (15), pp.3833-3836. ⟨10.1364/OL.492039⟩
- Accès au texte intégral et bibtex
-
- titre
- Lattice dynamics and Raman spectrum of supertetragonal PbVO3
- auteur
- Pierre Bouvier, Alireza Sasani, Eric Bousquet, Mael Guennou, Joaquim Agostinho Moreira
- article
- Journal of Physics and Chemistry of Solids, 2023, 173, pp.111092. ⟨10.1016/j.jpcs.2022.111092⟩
- Accès au bibtex
-
- titre
- A compact-rigid multi-analyser for energy and angle filtering of high-resolution X-ray experiments. Part 2. Efficiency of a single-crystal-comb
- auteur
- Jean-Louis Hodeau, A. Prat, N. Boudet, Nils Blanc, S. Arnaud, Jean-Louis F Hazemann, E. Lahéra, O. Proux, M. Jacquet, P.-O. Autran, C. Dejoie, Pauline Martinetto
- article
- Journal of Synchrotron Radiation, 2023, 30 (1), pp.126-136. ⟨10.1107/s1600577522011250⟩
- Accès au texte intégral et bibtex
-
- titre
- Inhomogeneous spatial distribution of non radiative recombination centers in GaN/InGaN nanowire heterostructures studied by cathodoluminescence
- auteur
- Alexandre Concordel, Névine Rochat, Anh My Nhat Quach, Jean-Luc Rouvière, Gwénolé Jacopin, Jérôme Napierala, Bruno Daudin
- article
- Nanotechnology, 2023, 34 (49), pp.495702. ⟨10.1088/1361-6528/acf473⟩
- Accès au texte intégral et bibtex
-
- titre
- Linear and nonlinear optical properties of the langasite crystal Ca3TaAl3Si2O14
- auteur
- Théodore Remark, Patricia Segonds, Jérôme Debray, David Jegouso, Encarnación G Víllora, Kiyoshi Shimamura, Benoît Boulanger
- article
- Optical Materials Express, 2023, 13 (7), pp.2053-2060. ⟨10.1364/ome.496056⟩
- Accès au texte intégral et bibtex
-
- titre
- Forewords for the special issue `Pilot-wave and beyond: Louis de Broglie and David Bohm's quest for a quantum ontology
- auteur
- Aurélien Drezet
- article
- Foundations of Physics, 2023, 53, pp.62. ⟨10.1007/s10701-023-00685-y⟩
- Accès au bibtex
-
- titre
- La SFO a quarante ans
- auteur
- Pierre Chavel, Benoît Boulanger
- article
- Photoniques, 2023, 118, pp.22-24. ⟨10.1051/photon/202311822⟩
- Accès au texte intégral et bibtex
-
- titre
- Carrier Mobility up to 10 6 cm 2 V − 1 s − 1 Measured in Single-Crystal Diamond by the Time-of-Flight Electron-Beam-Induced-Current Technique
- auteur
- A. Portier, F. Donatini, D. Dauvergne, M.-L. Gallin-Martel, Julien Pernot
- article
- Physical Review Applied, 2023, 20 (2), pp.024037. ⟨10.1103/PhysRevApplied.20.024037⟩
- Accès au texte intégral et bibtex
-
- titre
- High-sensitivity specific heat study of the low-temperature–high-field corner of the H − T phase diagram of FeSe
- auteur
- T. Klein, A. Demuer, G. Seyfarth, H. Cercellier, L. Doussoulin, Pierre Toulemonde, A.-A. Haghighirad, F. Hardy, C. Marcenat
- article
- Physical Review B, 2023, 107 (22), pp.224506. ⟨10.1103/PhysRevB.107.224506⟩
- Accès au texte intégral et bibtex
-
- titre
- Indefinite causal order with fixed temporal order for electrons and positrons
- auteur
- Aurélien Drezet
- article
- Quantum Studies: Mathematics and Foundations, 2023, 10 (1), pp.101-113. ⟨10.1007/s40509-022-00285-x⟩
- Accès au bibtex
-
- titre
- A kinetic approach to the mechanism of formation of mesoporous silica nanoparticles
- auteur
- Ricardo Alvarado Meza, Tom Santori, Xavier Cattoën
- article
- Journal of Sol-Gel Science and Technology, 2023, ⟨10.1007/s10971-023-06130-w⟩
- Accès au texte intégral et bibtex
-
- titre
- Calorimetry of a phase slip in a Josephson junction
- auteur
- E. Gümüş, D. Majidi, D. Nikolić, P. Raif, B. Karimi, J.T. Peltonen, E. Scheer, J.P. Pekola, H. Courtois, W. Belzig, C.B. Winkelmann
- article
- Nature Physics, 2023, 19 (2), pp.196-200. ⟨10.1038/s41567-022-01844-0⟩
- Accès au bibtex
-
- titre
- AlGaN/AlN Stranski–Krastanov Quantum Dots for Highly Efficient Electron Beam-Pumped Emitters: The Role of Miniaturization and Composition to Attain Far UV-C Emission
- auteur
- Jesus Cañas, Anjali Harikumar, Stephen Purcell, Nevine Rochat, Adeline Grenier, Audrey Jannaud, Edith Bellet-Amalric, Fabrice Donatini, Eva Monroy
- article
- ACS photonics, 2023, 10 (12), pp.4225-4235. ⟨10.1021/acsphotonics.3c00948⟩
- Accès au bibtex
-
- titre
- Existence of processes violating causal inequalities on time-delocalised subsystems
- auteur
- Julian Wechs, Cyril Branciard, Ognyan Oreshkov
- article
- Nature Communications, 2023, 14 (1), pp.1471. ⟨10.1038/s41467-023-36893-3⟩
- Accès au texte intégral et bibtex
-
Communication dans un congrès
- titre
- Fast load-flow calculations based on spanning trees
- auteur
- Pierrick Guichard, Nicolas Retière, Didier Mayou
- article
- IEEE PES ISGT EUROPE 2023 "Powering solutions for decarbonized and resilient future smartgrids”, Université Grenoble Alpes; IEEE Power & Energy Society, Oct 2023, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Beyond T^4 behaviour of spectral hole frequencies in Eu:YSO at dilution temperatures
- auteur
- Bess Fang, Xiuji Lin, Michael Hartman, Benjamin Pointard, Rodolphe Le Targat, Alban Ferrier, Philippe Goldner, Signe Seidelin, Yann Le Coq
- article
- The 2023 Rare Earth Ions for Quantum Information Workshop, Sep 2023, Lund (Sweden), Sweden
- Accès au bibtex
-
- titre
- The coloring matter of the schematic rock art: from the complementarity of the analysis methods to the creation processes
- auteur
- Coline Théron, Pierre Bordet, Emilie Chalmin, Claudia Defrasne, Philippe Hameau, Marianne Le Turnier, Pauline Martinetto, B Schmitt
- article
- 29th European Association of Archaelogists annual meeting, Aug 2023, Belfast (Northern Ireland), Ireland
- Accès au bibtex
-
- titre
- Platinum group elements and sulfur in hydrothermal fluids: a love story told by in situ spectroscopy, molecular dynamics, and thermodynamics
- auteur
- Clement Laskar, Elena Bazarkina, Maria Kokh, Jean-Louis F Hazemann, Rodolphe Vuilleumier, Elsa Desmaele, Gleb Pokrovski
- article
- Goldschmidt2023, Jul 2023, Lyon, France. ⟨10.7185/gold2023.14357⟩
- Accès au texte intégral et bibtex
-
- titre
- Transistors en diamant à effet de champ pour l'électronique de puissance : comparaison de grilles (JFET) et amélioration de la tenue en tension (MOSFET)
- auteur
- Martin Kah, Damien Michez, Nicolas C. Rouger, Juliette Letellier, Khaled Driche, Marine Couret, Anne Castelan, Julien Pernot
- article
- Symposium de Génie Electrique (SGE 2023), Jul 2023, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- Microstructural features of strip-cast Nd(Fe,Mo) 12 based alloys
- auteur
- Sorana Luca, Camille Flament, Ryan Sedek, Patricia de Rango
- article
- INTERMAG 2023 - IEEE International Magnetic Conference - Short Papers (Short Papers), May 2023, Sendai, Japan. pp.1-2, ⟨10.1109/INTERMAGShortPapers58606.2023.10228559⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental demonstration of Surface Acoustic Wave propagation on α-GeO2 for wireless, passive sensor design
- auteur
- William Daniau, Roland Salut, Jean-Michel Friedt, Alexandra Pena Revellez, Jérôme Debray, B Menaert, P Armand, P Papet, A Penarier, P Nouvel
- article
- 2023 IEEE International Frequency Control Symposium-European Frequency, May 2023, Toyama, Japan
- Accès au texte intégral et bibtex
-
- titre
- Electro-thermal analysis of paralleled diamond devices for higher total current
- auteur
- Marine Couret, Anne Castelan, David Eon, Emmanuel Sarraute, Nicolas C. Rouger
- article
- Hasselt Diamond Workshop 2023 - SBDD XXVII, Mar 2023, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Deep-depletion diamond metal–oxide–semiconductor field-effect transistor with source-field plate for power converters
- auteur
- Damien Michez, Marine Couret, Juliette Letellier, Khaled Driche, Julien Pernot, Nicolas C. Rouger
- article
- Hasselt Diamond Workshop 2023 - SBDD XXVII, Mar 2023, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Diamond electro-optically controlled JFET based memory
- auteur
- Martin Kah, Cédric Masante, Franz Koeck, Robert Nemanich, Nicolas C. Rouger, Julien Pernot
- article
- Hasselt Diamond Workshop 2023 - SBDD XXVII, Mar 2023, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Threshold voltage shift of deep-depletion ZrO2/O-terminated diamond MOSFET: numerical simulations and comparison with measurements
- auteur
- Marine Couret, Beatriz Soto, Daniel Araujo, Maria del Pilar Villar, Julien Pernot, Nicolas C. Rouger
- article
- Hasselt Diamond Workshop 2023 - SBDD XXVII, Mar 2023, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Les matières colorantes de l’abri Otello (Saint-Rémy-de-Provence, Alpilles)
- auteur
- Claudia Defrasne, Coline Théron
- article
- Journée Patrimalp, Feb 2023, Grenoble, France
- Accès au bibtex
-
- titre
- UV emission from MOVPE nanowire LEDs
- auteur
- Christophe Durand, Vincent Grenier, Sylvain Finot, Lucie Valera, C. Bougerol, Joël Eymery, Gwenolé Jacopin
- article
- Gallium Nitride Materials and Devices XVIII, SPIE, Jan 2023, San Francisco, California, United States, United States. pp.160, ⟨10.1117/12.2651255⟩
- Accès au bibtex
-
Brevet
- titre
- Diamond MIS Transistor
- auteur
- Julien Pernot, Nicolas C. Rouger, David Eon, Etienne Gheeraert, Gauthier Chicot, Thanh-Toan Pham, Florin Udrea
- article
- United States, Patent n° : US11569381 (B2). 2023
- Accès au bibtex
-
Poster de conférence
- titre
- Detection Techniques and Fundamental Limits in Frequency Stabilization via Spectral Hole Burning
- auteur
- M T Hartman, X Lin, B Pointard, R Le Targat, S Seidelin, P Goldner, B Fang, Y Le Coq
- article
- Assemblée générale de la Fédération de Recherche FIRST-TF 2023, Nov 2023, Nice, France. 2023
- Accès au texte intégral et bibtex
-
- titre
- Multi-scale and multi-technique approach of the colouring matter of Holocene schematic rock art: the Otello rock shelter (Bouches-du-Rhône, France)
- auteur
- Coline Théron, Pierre Bordet, Emilie Chalmin, Claudia Defrasne, Philippe Hameau, Marianne Le Turnier, Pauline Martinetto, Bernard Schmitt
- article
- 24ème édition d'Archéométrie - Colloque du GMPCA (Groupe des Méthodes Pluridisciplinaires Contribuant à l’Archéologie), Apr 2023, Nice, France.
- Accès au texte intégral et bibtex
-
Rapport
- titre
- PCR Graphein : rapport d'opération archéologique programmée 2023
- auteur
- Claudia Defrasne, Jean-Jacques Delannoy, Hugo Burnet, Julien Jacquet, Coline Théron, Emilie Chalmin, Pauline Martinetto, Pierre Bordet, Bernard Schmitt
- article
- EDYTEM, Université de Savoie Mont-Blanc, UMR 5204 CNRS. 2023
- Accès au bibtex
-
- titre
- Opportunity-driven campaigns : Ethical partnerships for scientific research ?
- auteur
- Etienne Bustarret, Éric Guilyardi, Magali Jacquier, Christine Noiville
- article
- COMETS - OPINION no. 2023-45, COMETS. 2023
- Accès au texte intégral et bibtex
-
Thèse
- titre
- Alliages multi-élémentaires comme matériaux innovants pour le stockage solide de l’hydrogène
- auteur
- Kylia Marcus
- article
- Génie chimique. Université Grenoble Alpes [2020-..], 2023. Français. ⟨NNT : 2023GRALI115⟩
- Accès au texte intégral et bibtex
-
- titre
- Exactly modeling the unitary dynamics of quantum interfaces with collision models
- auteur
- Bruno Ortega Goes
- article
- Physics [physics]. Université Grenoble Alpes [2020-..], 2023. English. ⟨NNT : 2023GRALY070⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude optomécanique des forces de proximité et de la dynamique des ondes de charges dans des nanorésonateurs mécaniques
- auteur
- Hugo Weltz
- article
- Physique [physics]. Université Grenoble Alpes [2020-..], 2023. Français. ⟨NNT : 2023GRALY063⟩
- Accès au texte intégral et bibtex
-
- titre
- Nano-optomechanics of suspended SiC nanowires down to cryogenic temperatures : exploration of optical and photothermal responses
- auteur
- Clement Gouriou
- article
- Physics [physics]. Université Grenoble Alpes [2020-..], 2023. English. ⟨NNT : 2023GRALY053⟩
- Accès au texte intégral et bibtex
-
- titre
- Évaluation de cristaux non linéaires pour la génération d'ondes THz par différence de fréquences en conditions d'accord de phase
- auteur
- Théodore Remark
- article
- Optique / photonique. Université Grenoble Alpes [2020-..], 2023. Français. ⟨NNT : 2023GRALT049⟩
- Accès au texte intégral et bibtex
-
- titre
- Les analogues classiques de la mécanique quantique et la dualité onde-particule
- auteur
- Pierre Jamet
- article
- Physique [physics]. Université Grenoble Alpes [2020-..], 2023. Français. ⟨NNT : 2023GRALY027⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Reassessing the advantage of indefinite causal orders for quantum metrology
- auteur
- Raphaël Mothe, Cyril Branciard, Alastair A. Abbott
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Quantum energetics of a non-commuting measurement
- auteur
- Xiayu Linpeng, Nicolò Piccione, Maria Maffei, Léa Bresque, Samyak P Prasad, Andrew N Jordan, Alexia Auffèves, Kater W Murch
- article
- 2023
- Accès au bibtex
-
- titre
- From nonreciprocal to charge-4e supercurrents in Ge-based Josephson devices with tunable harmonic content
- auteur
- Axel Leblanc, Chotivut Tangchingchai, Zahra Sadre Momtaz, Elyjah Kiyooka, Jean-Michel Hartmann, Gonzalo Troncoso Fernandez-Bada, Boris Brun-Barriere, Vivien Schmitt, Simon Zihlmann, Romain Maurand, Étienne Dumur, Silvano de Franceschi, François Lefloch
- article
- 2023
- Accès au bibtex
-
- titre
- Fundamental mechanisms of energy exchanges in autonomous measurements based on dispersive qubit-light interaction
- auteur
- Nicolò Piccione, Maria Maffei, Xiayu Linpeng, Andrew N Jordan, Kater W Murch, Alexia Auffèves
- article
- 2023
- Accès au bibtex
-
- titre
- Lattice dynamics in the intermetallic LaFeSi and the derived superconducting compounds LaFeSiH and LaFeSiO
- auteur
- Samar Layek, Mads Fonager Hansen, Jean-Baptiste Vaney, Pierre Toulemonde, Sophie Tencé, Philippe Boullay, Andres Cano, Marie Aude Measson
- article
- 2023
- Accès au bibtex
-
- titre
- Certifying ground-state properties of many-body systems
- auteur
- Jie Wang, Jacopo Surace, Irénée Frérot, Benoît Legat, Marc-Olivier Renou, Victor Magron, Antonio Acín
- article
- 2023
- Accès au bibtex
-
- titre
- Smoking gun" signatures of topological milestones in trivial materials by measurement fine-tuning and data postselection
- auteur
- S.M Frolov, P Zhang, B Zhang, Y Jiang, S Byard, S.R Mudi, J Chen, A.-H Chen, Moïra Hocevar, M Gupta, C Riggert, V.S Pribiag
- article
- 2023
- Accès au bibtex
-
- titre
- Magnetic and structural properties of the iron silicide superconductor LaFeSiH
- auteur
- M. F. Hansen, S. Layek, Jean-Baptiste Vaney, Laura Chaix, Matthew R. Suchomel, Mirko Mikolasek, Gastón Garbarino, Alexander I. Chumakov, Rudolf Rüffer, V. Nassif, Thomas Hansen, Erik Elkaïm, T. Pelletier, Hadrien Mayaffre, F. Bernardini, A. Sulpice, Manuel Núñez-Regueiro, Pierre Rodière, Andrés Cano, Sophie Tencé, Pierre Toulemonde, Marc-Henri Julien, Matteo d'Astuto
- article
- 2023
- Accès au bibtex
-
- titre
- Network-Device-Independent Certification of Causal Nonseparability
- auteur
- Hippolyte Dourdent, Alastair A. Abbott, Ivan Šupić, Cyril Branciard
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Estimation of the Quantum Fisher Information on a quantum processor
- auteur
- Vittorio Vitale, Aniket Rath, Petar Jurcevic, Andreas Elben, Cyril Branciard, Benoît Vermersch
- article
- 2023
- Accès au bibtex
-
- titre
- Enseigner la Physique du Solide : un exemple d'initiation à la modélisation en Physique
- auteur
- Christophe Durand, C. Darie
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Why Bohr was wrong in his response to EPR
- auteur
- Aurélien Drezet
- article
- 2023
- Accès au bibtex
-
- titre
- Reservoir-free decoherence in flying qubits
- auteur
- Nicolò Piccione, Léa Bresque, Andrew N Jordan, Robert S Whitney, Alexia Auffèves
- article
- 2023
- Accès au bibtex
-
- titre
- Whence Nonlocality?
- auteur
- Aurélien Drezet
- article
- 2023
- Accès au bibtex
-
2022
Article dans une revue
- titre
- Probing quantum entanglement from magnetic-sublevels populations: beyond spin squeezing inequalities
- auteur
- Guillem Müller-Rigat, Maciej Lewenstein, Irénée Frérot
- article
- Quantum, 2022, 6, pp.887. ⟨10.22331/q-2022-12-29-887⟩
- Accès au texte intégral et bibtex
-
- titre
- A structural study and some magnetic properties of YCo12-xFexB6 (x = 0 to 4.5) solid solution
- auteur
- B. Vallet-Simond, S. Giron, L. V. B. Diop, Olivier Isnard
- article
- Journal of Alloys and Compounds, 2022, 926, pp.166700. ⟨10.1016/j.jallcom.2022.166700⟩
- Accès au texte intégral et bibtex
-
- titre
- High-Quality SiO2/O-Terminated Diamond Interface: Band-Gap, Band-Offset and Interfacial Chemistry
- auteur
- Jesús Cañas, Daniel Reyes, Alter Zakhtser, Christian Dussarrat, Takashi Teramoto, Marina Gutiérrez, Etienne Gheeraert
- article
- Nanomaterials, 2022, 12 (23), pp.4125. ⟨10.3390/nano12234125⟩
- Accès au bibtex
-
- titre
- Universal stripe order as a precursor of the superconducting phase in pressurized BaFe2Se3 Spin Ladder
- auteur
- Wen-Gen Zheng, Victor Balédent, Claire Colin, Françoise Damay, Jean-Pascal Rueff, Anne Forget, Dorothée Colson, Pascale Foury-Leylekian
- article
- Communications Physics, 2022, 5 (1), pp.183. ⟨10.1038/s42005-022-00955-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Exploring Platinum Speciation with X-ray Absorption Spectroscopy under High-Energy Resolution Fluorescence Detection Mode
- auteur
- Clément Laskar, Elena Bazarkina, Maria Kokh, Jean-Louis F Hazemann, Stéphane Foulon, Olivier Leynaud, Elsa Desmaele, Gleb Pokrovski
- article
- Minerals, 2022, 12 (12), pp.1602. ⟨10.3390/min12121602⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal structures, frustrated magnetism, and chemical pressure in Sr-doped Ba$_3$ Ni Sb$_2$ O$_9$ perovskites
- auteur
- Mélanie Viaud, Catherine Guillot-Deudon, Eric Gautron, Maria Teresa Caldes, Guido Berlanda, Philippe Deniard, Philippe Boullay, Florence Porcher, Carole La, C. Darie, A. Zorko, A. Ozarowski, Fabrice Bert, Philippe Mendels, Christophe Payen
- article
- Physical Review Materials, 2022, 6 (12), pp.124408. ⟨10.1103/PhysRevMaterials.6.124408⟩
- Accès au texte intégral et bibtex
-
- titre
- Depicting the roles of CuO secondary phase and heat treatment in driving the magnetic and magnetocaloric features of Pr2∕3Sr1∕3MnO3 manganite
- auteur
- O. Chdil, M. Balli, N. Brahiti, R. Essehli, Patricia de Rango, P. Fournier, S. Naamane, K. El Maalam, O. Mounkachi
- article
- Journal of Alloys and Compounds, 2022, 925, pp.166639. ⟨10.1016/j.jallcom.2022.166639⟩
- Accès au texte intégral et bibtex
-
- titre
- Orthogonal antiferromagnetism to canted ferromagnetism in CaCo3Ti4O12 quadruple perovskite driven by underlying kagome lattices
- auteur
- Midori Amano Patino, Fabio Denis Romero, Hyun-Joo Koo, Maxim Avdeev, Sean D A Injac, Masato Goto, Myung-Hwan Whangbo, Yuichi Shimakawa
- article
- Communications Materials, 2022, 3, pp.51. ⟨10.1038/s43246-022-00274-y⟩
- Accès au texte intégral et bibtex
-
- titre
- The role of surface states and point defects on optical properties of InGaN/GaN multi-quantum wells in nanowires grown by molecular beam epitaxy
- auteur
- Alexandre Concordel, Joël Bleuse, Gwénolé Jacopin, Bruno Daudin
- article
- Nanotechnology, 2022, 34 (3), pp.035703. ⟨10.1088/1361-6528/ac98cd⟩
- Accès au texte intégral et bibtex
-
- titre
- Stability and structure of platinum sulfide complexes in hydrothermal fluids
- auteur
- C. Laskar, E.F. Bazarkina, M.A. Kokh, Jean-Louis F Hazemann, R. Vuilleumier, E. Desmaele, G. Pokrovski
- article
- Geochimica et Cosmochimica Acta, 2022, 336, pp.407-422. ⟨10.1016/j.gca.2022.08.015⟩
- Accès au texte intégral et bibtex
-
- titre
- Electron-spin spectral diffusion in an erbium doped crystal at millikelvin temperatures
- auteur
- M Rančić, M Le Dantec, S Lin, S Bertaina, T Chanelière, D Serrano, P Goldner, R B Liu, E Flurin, D Estève, D Vion, P Bertet
- article
- Physical Review B, 2022, 106 (14), pp.144412. ⟨10.1103/physrevb.106.144412⟩
- Accès au texte intégral et bibtex
-
- titre
- Demonstrating quantum properties of triple photons generated by $\chi ^3$ processes
- auteur
- K. Bencheikh, Marina F B Cenni, Enky Oudot, Véronique Boutou, Corinne Félix, Joel Compte Prades, Augustin Vernay, Julien Bertrand, Florent Bassignot, Mathieu Chauvet, Félix Bussières, Hugo Zbinden, Juan Ariel Levenson, Benoît Boulanger
- article
- The European Physical Journal D : Atomic, molecular, optical and plasma physics, 2022, 76 (10), pp.186. ⟨10.1140/epjd/s10053-022-00514-3⟩
- Accès au texte intégral et bibtex
-
- titre
- UV-A to UV-B electroluminescence of core-shell GaN/AlGaN wire heterostructures
- auteur
- Vincent Grenier, Sylvain Finot, Lucie Valera, Joël Eymery, Gwénolé Jacopin, Christophe Durand
- article
- Applied Physics Letters, 2022, 121 (13), pp.131102. ⟨10.1063/5.0101591⟩
- Accès au texte intégral et bibtex
-
- titre
- Understanding catalyst deactivation during the direct cracking of crude oil
- auteur
- Mohammed Alabdullah, Tuiana Shoinkhorova, Alla Dikhtiarenko, Samy Ould-Chikh, Alberto Rodriguez-Gomez, Sang-Ho Chung, Arwa Alahmadi, Idoia Hita, Sébastien Pairis, Jean-Louis F Hazemann, Pedro Castaño, Javier Ruiz-Martinez, Isidoro Morales Osorio, Khalid Almajnouni, Wei Xu, Jorge Gascon
- article
- Catalysis Science & Technology, 2022, 12 (18), pp.5657-5670. ⟨10.1039/d2cy01125e⟩
- Accès au bibtex
-
- titre
- The influence of illumination conditions in the measurement of built-in electric field at p–n junctions by 4D-STEM
- auteur
- Bruno C da Silva, Zahra S Momtaz, Lucas Bruas, Jean-Luc Rouviére, Hanako Okuno, David Cooper, Martien I Den-Hertog
- article
- Applied Physics Letters, 2022, 121 (12), pp.123503. ⟨10.1063/5.0104861⟩
- Accès au texte intégral et bibtex
-
- titre
- Energetics of a Single Qubit Gate
- auteur
- Jeremy Stevens, Daniel Szombati, Maria Maffei, Cyril Elouard, Reouven Assouly, Nathanaël Cottet, Rémy Dassonneville, Quentin Ficheux, Stefan Zeppetzauer, Audrey Bienfait, Andrew Jordan, Alexia Auffèves, Benjamin Huard
- article
- Physical Review Letters, 2022, 129 (11), pp.110601. ⟨10.1103/PhysRevLett.129.110601⟩
- Accès au texte intégral et bibtex
-
- titre
- Semi-device-independent Certification of Causal Nonseparability with Trusted Quantum Inputs
- auteur
- Hippolyte Dourdent, Alastair A. Abbott, Nicolas Brunner, Ivan Šupić, Cyril Branciard
- article
- Physical Review Letters, 2022, 129 (9), pp.090402. ⟨10.1103/PhysRevLett.129.090402⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization of the Pechini-derived synthesis of rare-earth free aluminum borate phosphors presenting tunable white emission
- auteur
- Jérémy Cathalan, Mathieu Salaün, Pierre Gaffuri, Audrey Potdevin, François Réveret, Alain Ibanez, Geneviève Chadeyron, Isabelle Gautier-Luneau
- article
- Journal of Materials Science, 2022, 57, pp.15829-15842. ⟨10.1007/s10853-022-07619-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Li trapping in nanolayers of cation ‘disordered’ rock salt cathodes
- auteur
- Maria Diaz-Lopez, Philip A Chater, Olivier Proux, Yves Joly, Jean-Louis Hazemann, Pierre Bordet, Valerie Pralong
- article
- Journal of Materials Chemistry A, 2022, 10, pp.17415 - 17423. ⟨10.1039/d2ta04262b⟩
- Accès au texte intégral et bibtex
-
- titre
- Three numerical approaches to find mutually unbiased bases using Bell inequalities
- auteur
- Maria Prat Colomer, Luke Mortimer, Irénée Frérot, Máté Farkas, Antonio Acín
- article
- Quantum, 2022, 6, pp.778. ⟨10.22331/q-2022-08-17-778⟩
- Accès au texte intégral et bibtex
-
- titre
- High quality SiO 2 /diamond interface in O-terminated p-type diamond MOS capacitors
- auteur
- J. Cañas, C. Dussarrat, T. Teramoto, C. Masante, M. Gutierrez, E. Gheeraert
- article
- Applied Physics Letters, 2022, 121 (7), pp.072101. ⟨10.1063/5.0103037⟩
- Accès au bibtex
-
- titre
- Photon-Correlation Cathodoluminescence Spectroscopy in a SEM: A Tool to Analyze the Performance of Optoelectronics Devices
- auteur
- Sylvain Finot, Corentin Le Maoult, Etienne Gheeraert, David Vaufrey, Gwenolé Jacopin
- article
- Microscopy and Microanalysis, 2022, 28 (S1), pp.2012-2013. ⟨10.1017/S1431927622007814⟩
- Accès au bibtex
-
- titre
- Stability of the tetragonal phase of BaZrO3 under high pressure
- auteur
- Constance Toulouse, Danila Amoroso, Robert Oliva, Cong Xin, Pierre Bouvier, Pierre Fertey, Philippe Veber, Mario Maglione, Philippe Ghosez, Jens Kreisel, Mael Guennou
- article
- Physical Review B, 2022, 106 (6), pp.064105. ⟨10.1103/PhysRevB.106.064105⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrochemical Transformation of Fe-N-C catalysts into Iron Oxides in Alkaline Medium and Its Impact on the Oxygen Reduction Reaction Activity
- auteur
- Ricardo Sgarbi, Kavita Kumar, Viktoriia Saveleva, Laetitia Dubau, Raphaël Chattot, Vincent Martin, Michel Mermoux, Pierre Bordet, Pieter Glatzel, Edson Ticianelli, Frédéric Jaouen, Frédéric Maillard
- article
- Applied Catalysis B: Environmental, 2022, 311, pp.121366. ⟨10.1016/j.apcatb.2022.121366⟩
- Accès au texte intégral et bibtex
-
- titre
- Spin dynamics of positively charged excitons in Cr + -doped quantum dots probed by resonant photoluminescence
- auteur
- V. Tiwari, M. Morita, T. Inoue, S. Ando, S. Kuroda, H. Boukari, L. Besombes
- article
- Physical Review B, 2022, 106 (4), pp.045308. ⟨10.1103/PhysRevB.106.045308⟩
- Accès au texte intégral et bibtex
-
- titre
- Self-transformation of solid CaCO3 microspheres into core-shell and hollow hierarchical structures revealed by coherent X-ray diffraction imaging
- auteur
- Thomas Beuvier, Yuriy Chushkin, Federico Zontone, Alain Gibaud, Oxana Cherkas, Julio Cesar da Silva, Irina Snigireva
- article
- International Union of Crystallography journal, 2022, 9 (5), pp.580-593. ⟨10.1107/s2052252522006108⟩
- Accès au texte intégral et bibtex
-
- titre
- Redox dynamics of subduction revealed by arsenic in serpentinite
- auteur
- G.S. Pokrovski, C. Sanchez-Valle, S. Guillot, Anastassia Yu. Borisova, M. Muñoz, A.-L. Auzende, O. Proux, J. Roux, Jean-Louis F Hazemann, Denis Testemale, Y.V. Shvarov
- article
- Geochemical Perspectives Letters, 2022, 22, pp.36-41. ⟨10.7185/geochemlet.2225⟩
- Accès au texte intégral et bibtex
-
- titre
- Entanglement Swapping and Quantum Correlations via Symmetric Joint Measurements
- auteur
- Cen-Xiao Huang, Xiao-Min Hu, Yu Guo, Chao Zhang, Bi-Heng Liu, Yun-Feng Huang, Chuan-Feng Li, Guang-Can Guo, Nicolas Gisin, Cyril Branciard, Armin Tavakoli
- article
- Physical Review Letters, 2022, 129 (3), pp.030502. ⟨10.1103/PhysRevLett.129.030502⟩
- Accès au texte intégral et bibtex
-
- titre
- Electron beam lithography on non-planar, suspended, 3D AFM cantilever for nanoscale thermal probing
- auteur
- R Swami, G Julié, D Singhal, J Paterson, J Maire, S Le-Denmat, J Motte, S Gomès, O Bourgeois
- article
- Nano Futures, 2022, 6 (2), pp.025005. ⟨10.1088/2399-1984/ac7599⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnesium- and intermetallic alloys-based hydrides for energy storage: modelling, synthesis and properties
- auteur
- Luca Pasquini, Kouji Sakaki, Etsuo Akiba, Mark D Allendorf, Ebert Alvares, Josè R Ares, Dotan Babai, Marcello Baricco, Josè Bellosta von Colbe, Matvey Bereznitsky, Craig E Buckley, Young Whan Cho, Fermin Cuevas, Patricia de Rango, Erika Michela Dematteis, Roman V Denys, Martin Dornheim, J F Fernández, Arif Hariyadi, Bjørn C Hauback, Tae Wook Heo, Michael Hirscher, Terry D Humphries, Jacques Huot, Isaac Jacob, Torben R Jensen, Paul Jerabek, Shin Young Kang, Nathan Keilbart, Hyunjeong Kim, Michel Latroche, F Leardini, Haiwen Li, Sanliang Ling, Mykhaylo V Lototskyy, Ryan Mullen, Shin-Ichi Orimo, Mark Paskevicius, Claudio Pistidda, Marek Polanski, Julián Puszkiel, Eugen Rabkin, Martin Sahlberg, Sabrina Sartori, Archa Santhosh, Toyoto Sato, Roni Z Shneck, Magnus H Sørby, Yuanyuan Shang, Vitalie Stavila, Jin-Yoo Suh, Suwarno Suwarno, Le Thi Thu, Liwen F Wan, Colin J Webb, Matthew Witman, Chubin Wan, Brandon C Wood, Volodymyr A Yartys
- article
- Progress in energy, 2022, 4 (3), pp.032007. ⟨10.1088/2516-1083/ac7190⟩
- Accès au texte intégral et bibtex
-
- titre
- Reduction of the lasing threshold in optically pumped AlGaN/GaN lasers with two-step etched facets
- auteur
- Sergi Cuesta, Lou Denaix, Florian Castioni, Le Si Dang, Eva Monroy
- article
- Semiconductor Science and Technology, 2022, 37 (7), pp.075013. ⟨10.1088/1361-6641/ac7164⟩
- Accès au bibtex
-
- titre
- Evolution of the particle size distribution of tricalcium silicate during hydration by synchrotron X-ray nano-tomography
- auteur
- J Neubauer, T Sowoidnich, L Valentini, C Schulbert, C Naber, C Rößler, Julio Da Silva, F Bellmann
- article
- Cement and Concrete Research, 2022, 156, pp.106769. ⟨10.1016/j.cemconres.2022.106769⟩
- Accès au texte intégral et bibtex
-
- titre
- Energetic Cost of Measurements Using Quantum, Coherent, and Thermal Light
- auteur
- Xiayu Linpeng, Léa Bresque, Maria Maffei, Andrew Jordan, Alexia Auffèves, Kater Murch
- article
- Physical Review Letters, 2022, 128 (22), pp.220506. ⟨10.1103/PhysRevLett.128.220506⟩
- Accès au texte intégral et bibtex
-
- titre
- Microstructure and correlated mechanical properties study of Ni–(Fe, Co)–Mn–(Al, In) as-spun ribbons
- auteur
- Chunyang Zhang, Laureline Porcar, Salvatore Miraglia, Patricia Donnadieu, Muriel Braccini, Richard Haettel, Marc Verdier
- article
- Journal of Alloys and Compounds, 2022, 905, pp.164139. ⟨10.1016/j.jallcom.2022.164139⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum Technologies Need a Quantum Energy Initiative
- auteur
- Alexia Auffèves
- article
- PRX Quantum, 2022, 3 (2), pp.020101. ⟨10.1103/PRXQuantum.3.020101⟩
- Accès au bibtex
-
- titre
- Unraveling the structure and role of Mn and Ce for NOx reduction in application-relevant catalysts
- auteur
- Lieven Gevers, Linga Enakonda, Ameen Shahid, Samy Ould-Chikh, Cristina Silva, Pasi Paalanen, Antonio Aguilar-Tapia, Jean-Louis F Hazemann, Mohamed Nejib Hedhili, Fei Wen, Javier Ruiz-Martínez
- article
- Nature Communications, 2022, 13, pp.2960. ⟨10.1038/s41467-022-30679-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Oxygen crystallographic positions in thin films by non-destructive resonant elastic X-ray scattering
- auteur
- Antonio Peña Corredor, Laurianne Wendling, Daniele Preziosi, Laurent Schlur, Cédric Leuvrey, Dominique Thiaudière, Erik Elklaim, Nils Blanc, Stephane Grenier, François Roulland, Nathalie Viart, Christophe Lefevre
- article
- Journal of Applied Crystallography, 2022, 55, pp.526 - 532. ⟨10.1107/s1600576722003673⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of crystallinity and thickness on thermal transport in layered PtSe2
- auteur
- Alexandros El Sachat, Peng Xiao, Davide Donadio, Frédéric Bonell, Marianna Sledzinska, Alain Marty, Céline Vergnaud, Hervé Boukari, Matthieu Jamet, Guillermo Arregui, Zekun Chen, Francesc Alzina, Clivia M. Sotomayor Torres, Emigdio Chavez-Angel
- article
- npj 2D Materials and Applications, 2022, 6, pp.32. ⟨10.1038/s41699-022-00311-x⟩
- Accès au bibtex
-
- titre
- Room-Temperature Doping of CsPbBr3 Nanocrystals with Aluminum
- auteur
- Tuan Duong, Dmitry Aldakov, Stéphanie Pouget, Wai-Li Ling, Le Si Dang, Gilles Nogues, Peter Reiss
- article
- Journal of Physical Chemistry Letters, 2022, 13 (20), pp.4495-4500. ⟨10.1021/acs.jpclett.2c01021⟩
- Accès au texte intégral et bibtex
-
- titre
- Pure silica-supported transition metal catalysts for the non-oxidative dehydrogenation of ethane: confinement effects on the stability
- auteur
- Sudipta De, Antonio Aguilar-Tapia, Samy Ould-Chikh, Andrea Zitolo, Jean-Louis F Hazemann, Genrikh Shterk, Adrian Ramirez, Jorge Gascon
- article
- Journal of Materials Chemistry A, 2022, 10 (17), pp.9445-9456. ⟨10.1039/d2ta00223j⟩
- Accès au bibtex
-
- titre
- Yttrium speciation in sulfate-rich hydrothermal ore-forming fluids
- auteur
- Qiushi Guan, Yuan Mei, Barbara Etschmann, Marion Louvel, Denis Testemale, Evgeniy Bastrakov, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2022, 325, pp.278-295. ⟨10.1016/j.gca.2022.03.011⟩
- Accès au texte intégral et bibtex
-
- titre
- Imaging tunable quantum Hall broken-symmetry orders in charge-neutral graphene
- auteur
- Alexis Coissard, David Wander, Hadrien Vignaud, Adolfo G. Grushin, Cécile Repellin, Kenji Watanabe, Takashi Taniguchi, Frédéric Gay, Clemens Winkelmann, Hervé Courtois, Hermann Sellier, Benjamin Sacépé
- article
- Nature, 2022, 605, pp.51-56. ⟨10.1038/s41586-022-04513-7⟩
- Accès au texte intégral et bibtex
-
- titre
- A high throughput study of both compositionally graded and homogeneous Fe–Pt thin films
- auteur
- Yuan Hong, Isabelle de Moraes, Gabriel Gomez Eslava, Stéphane Grenier, Edith Bellet-Amalric, Andre Dias, Marlio Bonfim, Laurent Ranno, Thibaut Devillers, Nora Dempsey
- article
- Journal of Materials Research and Technology, 2022, 18, pp.1245-1255. ⟨10.1016/j.jmrt.2022.03.055⟩
- Accès au texte intégral et bibtex
-
- titre
- Out-of-equilibrium supported Pt-Co core-shell nanoparticles stabilized by kinetic trapping at room temperature
- auteur
- Hocine Khelfane, Caroline Andreazza-Vignolle, Aline Y. Ramos, Jose Penuelas, Thierry Sauvage, Pascal Andreazza
- article
- European Physical Journal: Applied Physics, 2022, 97, pp.56. ⟨10.1051/epjap/2022220027⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of extreme mechanical densification on the electrical properties of carbon nanotube micro-yarns
- auteur
- Cassandre Miralaei, Sylvie Le Floch, Regis Debord, Hung Nguyen, Julio da Silva, Alfonso San-Miguel, Hélène Le Poche, Stéphane Pailhes, Vittoria Pischedda
- article
- Nanotechnology, 2022, 33 (27), pp.275708. ⟨10.1088/1361-6528/ac6039⟩
- Accès au bibtex
-
- titre
- DX center formation in highly Si doped AlN nanowires revealed by trap assisted space-charge limited current
- auteur
- Rémy Vermeersch, Gwenolé Jacopin, Bruno Daudin, Julien Pernot
- article
- Applied Physics Letters, 2022, 120 (16), pp.162104. ⟨10.1063/5.0087789⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence for highly p-type doping and type II band alignment in large scale monolayer WSe2/Se-terminated GaAs heterojunction grown by molecular beam epitaxy
- auteur
- Debora Pierucci, Aymen Mahmoudi, Mathieu Silly, Federico Bisti, Fabrice Oehler, Gilles Patriarche, Frédéric Bonell, Alain Marty, Céline Vergnaud, Matthieu Jamet, Hervé Boukari, Emmanuel Lhuillier, Marco Pala, Abdelkarim Ouerghi
- article
- Nanoscale, 2022, 14 (15), pp.5859-5868. ⟨10.1039/D2NR00458E⟩
- Accès au bibtex
-
- titre
- Photon-number entanglement generated by sequential excitation of a two-level atom
- auteur
- Stephen Wein, Juan Loredo, Maria Maffei, Paul Hilaire, Abdelmounaim Harouri, Niccolo Somaschi, Aristide Lemaître, Isabelle Sagnes, Loïc Lanco, Olivier Krebs, Alexia Auffèves, Christoph Simon, Pascale Senellart, Carlos Antón-Solanas
- article
- Nature Photonics, 2022, 16 (5), pp.374-379. ⟨10.1038/s41566-022-00979-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhanced photocatalytic activity of chemically deposited ZnO nanowires using doping and annealing strategies for water remediation
- auteur
- Pierre Gaffuri, Tatjana Dedova, Estelle Appert, Mati Danilson, Adrien Baillard, Odette Chaix-Pluchery, Frank Güell, Ilona Oja-Acik, Vincent Consonni
- article
- Applied Surface Science, 2022, 582, pp.152323. ⟨10.1016/j.apsusc.2021.152323⟩
- Accès au texte intégral et bibtex
-
- titre
- Conical spin order with chiral quadrupole helix in CsCuCl3
- auteur
- Hiroki Ueda, Elizabeth Skoropata, Max Burian, Victor Ukleev, Gérard Sylvester Perren, Ludmila Leroy, Julien Zaccaro, Urs Staub
- article
- Physical Review B, 2022, 105 (14), pp.144408. ⟨10.1103/PhysRevB.105.144408⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficiently fueling a quantum engine with incompatible measurements
- auteur
- Sreenath Manikandan, Cyril Elouard, Kater Murch, Alexia Auffèves, Andrew Jordan
- article
- Physical Review E , 2022, 105 (4), pp.044137. ⟨10.1103/PhysRevE.105.044137⟩
- Accès au bibtex
-
- titre
- Transport properties of a thin GaN channel formed in an Al0.9Ga0.1N/GaN heterostructure grown on AlN/sapphire template
- auteur
- Julien Bassaler, Rémi Comyn, Catherine Bougerol, Yvon Cordier, F Medjdoub, Philippe Ferrandis
- article
- Journal of Applied Physics, 2022, 131 (12), pp.124501. ⟨10.1063/5.0077107⟩
- Accès au texte intégral et bibtex
-
- titre
- Gold speciation in hydrothermal fluids revealed by in situ high energy resolution X-ray absorption spectroscopy
- auteur
- Gleb Pokrovski, Elsa Desmaele, Clément Laskar, Elena Bazarkina, Denis Testemale, Jean-Louis F Hazemann, Rodolphe Vuilleumier, Ari Paavo Seitsonen, Guillaume Ferlat, Antonino Marco Saitta
- article
- The American Mineralogist, 2022, 107 (3), pp.369-376. ⟨10.2138/am-2022-8008⟩
- Accès au texte intégral et bibtex
-
- titre
- Depth-resolved magnetization profile of MgO/CoFeB/W perpendicular half magnetic tunnel junctions
- auteur
- V. Bansal, J.-M. Tonnerre, E. Mossang, L. Ortega, F. Fettar, J. Chatterjee, S. Auffret, I.-L. Prejbeanu, B. Dieny
- article
- AIP Advances, 2022, 12 (3), pp.035129. ⟨10.1063/9.0000343⟩
- Accès au texte intégral et bibtex
-
- titre
- Origin and properties of an unexpected exchange bias of Ta/Ni80Fe20/Ir20Mn80/Ta heterostructure in ultrathin limit: Impact of the oblique deposition and Ta/Ni80Fe20 alloying
- auteur
- A.C. Krohling, H.D. Leite, F. Fettar, E. Mossang, J.-M. Tonnerre, R. Magalhães-Paniago, T.E.P. Bueno, E.C. Passamani, V.P. Nascimento
- article
- Thin Solid Films, 2022, 746, pp.139115. ⟨10.1016/j.tsf.2022.139115⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis, crystal structure, Hirshfeld surface analysis and magnetic studies of bis[1,3-dicyclohexyl-2-ethyl isouronium]tetrachlorocobaltate(II)
- auteur
- Walid Amamou, Rim Essalhi, Nassira Chniba-Boudjada, Fatma Zouari
- article
- Journal of Molecular Structure, 2022, 1252, pp.132089. ⟨10.1016/j.molstruc.2021.132089⟩
- Accès au bibtex
-
- titre
- Thermal Critical Dynamics from Equilibrium Quantum Fluctuations
- auteur
- Irénée Frérot, Adam Rançon, Tommaso Roscilde
- article
- Physical Review Letters, 2022, 128 (13), pp.130601. ⟨10.1103/PhysRevLett.128.130601⟩
- Accès au texte intégral et bibtex
-
- titre
- Unveiling Quantum Entanglement in Many-Body Systems from Partial Information
- auteur
- Irénée Frérot, Flavio Baccari, Antonio Acín
- article
- PRX Quantum, 2022, 3 (1), pp.010342. ⟨10.1103/PRXQuantum.3.010342⟩
- Accès au bibtex
-
- titre
- Sonocrystallization of CMONS Needles and Nanocubes: Mechanistic Studies and Advanced Crystallinity Characterization by Combining X-ray and Electron Diffractions with DNP-Enhanced NMR
- auteur
- X. Cattoen, Akshay Kumar, Carole Vaillant, Mauricio Matta-Seclén, Fabien Dubois, Olivier Leynaud, Stéphanie Kodjikian, Sabine Hediger, Gaël de Paëpe, Alain Ibanez
- article
- Crystal Growth & Design, 2022, 22 (4), pp.2181-2191. ⟨10.1021/acs.cgd.1c01246⟩
- Accès au texte intégral et bibtex
-
- titre
- Sub-micrometer particle size effects on metastable phases for a photoswitchable Co–Fe Prussian blue analog
- auteur
- Miho Itoi, Isabelle Maurin, Kamel Boukheddaden, Matthew Andrus, Daniel Talham, Erik Elkaim, Yoshiya Uwatoko
- article
- Journal of Applied Physics, 2022, 131 (8), pp.085110. ⟨10.1063/5.0074165⟩
- Accès au texte intégral et bibtex
-
- titre
- Modulating the growth of chemically deposited ZnO nanowires and the formation of nitrogen- and hydrogen-related defects using the pH adjustment
- auteur
- Jose Villafuerte, Eirini Sarigiannidou, Fabrice Donatini, Joseph Kioseoglou, Odette Chaix-Pluchery, Julien Pernot, Vincent Consonni
- article
- Nanoscale Advances, 2022, 4, pp.1793-1807. ⟨10.1039/d1na00785h⟩
- Accès au texte intégral et bibtex
-
- titre
- Relation between material structure and photoluminescence properties in yttrium–aluminum borates phosphors
- auteur
- Mathieu Salaun, A Sontakke, V Maurel, J Mouesca, A Barra, V Guimaraes, V Montouillout, B Viana, I Gautier-Luneau, Alain Ibanez
- article
- MRS Bulletin, 2022, 47, pp.231-242. ⟨10.1557/s43577-021-00195-0⟩
- Accès au texte intégral et bibtex
-
- titre
- Analytic modeling of an hybrid power module based on diamond and SiC devices
- auteur
- Marine Couret, Anne Castelan, Nazareno Donato, Florin Udrea, Julien Pernot, Nicolas C. Rouger
- article
- Diamond and Related Materials, 2022, 124, pp.108936. ⟨10.1016/j.diamond.2022.108936⟩
- Accès au texte intégral et bibtex
-
- titre
- Europium-Implanted AlN Nanowires for Red Light-Emitting Diodes
- auteur
- José Cardoso, Maria Rosário Correia, Remy Vermeersch, Dirkjan Verheij, Gwenole Jacopin, Julien Pernot, Teresa Monteiro, Susana Cardoso, Katharina Lorenz, Bruno Daudin, Nabiha Ben Sedrine
- article
- ACS Applied Nano Materials, 2022, 5 (1), pp.972-984. ⟨10.1021/acsanm.1c03654⟩
- Accès au bibtex
-
- titre
- Ferromagnetism and Rashba Spin-Orbit Coupling in the Two-Dimensional (V,Pt)Se2 Alloy
- auteur
- Emilio Vélez-Fort, Ali Hallal, Roberto Sant, Thomas Guillet, Khasan Abdukayumov, Alain Marty, Céline Vergnaud, J. -F. Jacquot, Denis Jalabert, Jun Fujii, Ivana Vobornik, Julien Rault, Nicholas Brookes, Danilo Longo, Philippe Ohresser, Abdelkarim Ouerghi, J. -Y. Veuillen, P. Mallet, Hervé Boukari, Hanako Okuno, Mairbek Chshiev, Frédéric Bonell, Matthieu Jamet
- article
- ACS Applied Electronic Materials, 2022, 4 (1), pp.259-268. ⟨10.1021/acsaelm.1c00992⟩
- Accès au texte intégral et bibtex
-
- titre
- Emission spectroscopy of NaYF₄:Eu nanorods optically trapped by Fresnel lens fibers
- auteur
- Aashutosh Kumar, Asa Asadollahbaik, Jeongmo Kim, Khalid Lahlil, Simon Thiele, Alois Herkommer, Sile Nic Chormaic, Jong-Wook Kim, Thierry Gacoin, Harald Giessen, Jochen Fick
- article
- Photonics research, 2022, 10 (2), pp.332-339. ⟨10.1364/PRJ.434645⟩
- Accès au bibtex
-
- titre
- Revisiting Born’s rule through Uhlhorn’s and Gleason’s theorems
- auteur
- Alexia Auffèves, Philippe Grangier
- article
- Entropy, 2022, 24 (2), pp.199. ⟨10.3390/e24020199⟩
- Accès au texte intégral et bibtex
-
- titre
- Staircase effect in field-induced metamagnetic transitions in La0·9Ce0·1Fe12B6
- auteur
- L.V.B. Diop, O. Isnard
- article
- Solid State Communications, 2022, 341, pp.114568. ⟨10.1016/j.ssc.2021.114568⟩
- Accès au texte intégral et bibtex
-
- titre
- Assessment of near Pr2/3Sr1/3MnO3 oxide in magnetic cooling
- auteur
- O. Chdil, M. Balli, P. de Rango, K. El Maalam, A. El Boukili, O. Mounkachi
- article
- International Journal of Refrigeration, 2022, 133, pp.302-312. ⟨10.1016/j.ijrefrig.2021.10.005⟩
- Accès au texte intégral et bibtex
-
- titre
- Coupling between Ion Drift and Kinetics of Electronic Current Transients in MAPbBr 3 Single Crystals
- auteur
- Marisé García-Batlle, Javier Mayén Guillén, Marian Chapran, Oriane Baussens, Julien Zaccaro, Jean-Marie Verilhac, Eric Gros-Daillon, Antonio Guerrero, Osbel Almora, Germà Garcia-Belmonte
- article
- ACS Energy Letters, 2022, 7 (3), pp.946-951. ⟨10.1021/acsenergylett.1c02578⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystallization within Intermediate Amorphous Phases Determines the Polycrystallinity of Nanoparticles from Coprecipitation
- auteur
- Alexy P Freitas, Raj Kumar Ramamoorthy, Maxime Durelle, Eric Larquet, Isabelle Maurin, Fabienne Testard, Corinne Chevallard, Thierry Gacoin, David Carriere
- article
- Nano Letters, 2022, 22 (1), pp.29-35. ⟨10.1021/acs.nanolett.1c02859⟩
- Accès au texte intégral et bibtex
-
- titre
- Angular Quasi-Phase-Matching in Periodically Poled Uniaxial and Biaxial Crystals
- auteur
- Yannick Petit, Alexandra Peña, Simon Joly, Dazhi Lu, Patricia Segonds, Benoît Boulanger
- article
- Crystals, 2022, 12 (7), pp.979. ⟨10.3390/cryst12070979⟩
- Accès au texte intégral et bibtex
-
- titre
- Heteroepitaxy of Scandium Delafossite on ZnO
- auteur
- Stéphane Grenier, Fabrice Donatini, Eric Mossang, Pierre R. Muret
- article
- physica status solidi (b), 2022, 259 (8), pp.2200044. ⟨10.1002/pssb.202200044⟩
- Accès au texte intégral et bibtex
-
- titre
- Closed-System Solution of the 1D Atom from Collision Model
- auteur
- Maria Maffei, Patrice A. Camati, Alexia Auffèves
- article
- Entropy, 2022, 24 (2), pp.151. ⟨10.3390/e24020151⟩
- Accès au texte intégral et bibtex
-
- titre
- L'optique non linéaire, une véritable alchimie
- auteur
- Benoît Boulanger, Riad Haïdar, Sara Ducci
- article
- La Recherche, 2022, 568, pp.46-49
- Accès au bibtex
-
- titre
- Conflict-free joint sampling for preference satisfaction through quantum interference
- auteur
- Hiroaki Shinkawa, Nicolas Chauvet, André Röhm, Takatomo Mihana, Ryoichi Horisaki, Guillaume Bachelier, Makoto Naruse
- article
- Physical Review Applied, 2022, 18 (6), pp.064018. ⟨10.1103/PhysRevApplied.18.064018⟩
- Accès au texte intégral et bibtex
-
- titre
- Regulated dynamics with two-monolayer steps in vapor-solid-solid growth of nanowires
- auteur
- Edith Bellet-Amalric, Federico Panciera, Gilles Patriarche, Laurent Travers, Martien den Hertog, Jean-Christophe Harmand, Frank Glas, Joel Cibert
- article
- ACS Nano, 2022, 16 (3), pp.4397-4407. ⟨10.1021/acsnano.1c10666⟩
- Accès au texte intégral et bibtex
-
- titre
- High carrier mobility in single-crystal PtSe2 grown by molecular beam epitaxy on ZnO(0001)
- auteur
- Frédéric Bonell, Alain Marty, Céline Vergnaud, Vincent Consonni, Hanako Okuno, Abdelkarim Ouerghi, Hervé Boukari, Matthieu Jamet
- article
- 2D Materials, 2022, 9 (1), pp.015015. ⟨10.1088/2053-1583/ac37aa⟩
- Accès au bibtex
-
- titre
- A no-go theorem for Ψ−anomic models under the restricted ontic indifference assumption
- auteur
- Aurélien Drezet
- article
- International journal of quantum foundations, 2022, 8, pp.16-30
- Accès au texte intégral et bibtex
-
- titre
- Low-Dose Electron Crystallography: Structure Solution and Refinement
- auteur
- Holger Klein, Stéphanie Kodjikian, Emre Yörük, Pierre Bordet
- article
- Symmetry, 2022, 14 (2), pp.245. ⟨10.3390/sym14020245⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanoscale imaging of dopant incorporation in n-type and p-type GaN nanowires by scanning spreading resistance microscopy
- auteur
- Ece Aybeke, Alexandra-Madalina Siladie, Rémy Vermeersch, Eric Robin, Oleksandr Synhaivskyi, Bruno Gayral, Julien Pernot, Bruno Daudin, Georges Bremond
- article
- Journal of Applied Physics, 2022, 131 (7), pp.075701. ⟨10.1063/5.0080713⟩
- Accès au texte intégral et bibtex
-
- titre
- Learning Feynman Diagrams with Tensor Trains
- auteur
- Yuriel Nunez-Fernandez, Matthieu Jeannin, Philipp T. Dumitrescu, Thomas Kloss, Jason Kaye, Olivier Parcollet, Xavier Waintal
- article
- Physical Review X, 2022, 12 (4), pp.041018. ⟨10.1103/PhysRevX.12.041018⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical trapping of photochromic microcrystals by a dual fiber tweezers
- auteur
- K. Uchiyama, J. Fick, S. Huant, K. Uchida, M. Naruse, H. Hori
- article
- Applied Physics Letters, 2022, 121 (11), pp.111103. ⟨10.1063/5.0101484⟩
- Accès au texte intégral et bibtex
-
- titre
- Solution‐Based Synthesis Routes for the Preparation of Noncentrosymmetric 0‐D Oxide Nanocrystals with Perovskite and Nonperovskite Structures
- auteur
- Géraldine Dantelle, Sandrine Beauquis, Ronan Le Dantec, Virginie Monnier, Christine Galez, Yannick Mugnier
- article
- Small, 2022, 18 (30), pp.2200992. ⟨10.1002/smll.202200992⟩
- Accès au bibtex
-
- titre
- The onset of tapering in the early stage of growth of a nanowire
- auteur
- Saransh Raj Gosain, Edith Bellet-Amalric, Martien den Hertog, Régis André, Joël Cibert
- article
- Nanotechnology, 2022, 33 (25), pp.255601. ⟨10.1088/1361-6528/ac5cfa⟩
- Accès au texte intégral et bibtex
-
- titre
- From soil to cacao bean: Unravelling the pathways of cadmium translocation in a high Cd accumulating cultivar of Theobroma cacao L.
- auteur
- Hester Blommaert, Anne-Marie Aucour, Matthias Wiggenhauser, Philippe Telouk, Claudia Moens, Sylvain Campillo, Jacques Beauchêne, Gautier Landrot, Serge Pin, Denis Testemale, Caleb Lewis, Pathmanathan Umaharan, Erik Smolders, Géraldine Sarret
- article
- Frontiers in Plant Science, 2022, 13, pp.1055912. ⟨10.3389/fpls.2022.1055912⟩
- Accès au texte intégral et bibtex
-
- titre
- Model for the dynamics of carrier injection in a band with polaronic states: Application to exciton dissociation in organic solar cells
- auteur
- Khouloud Chika, Alexandre Perrin, Jouda Jemaa Khabthani, Ghassen Jemaï, Jean-Pierre Julien, Samia Charfi Kaddour, Didier Mayou
- article
- Physical Review B, 2022, 106 (19), pp.195420. ⟨10.1103/PhysRevB.106.195420⟩
- Accès au texte intégral et bibtex
-
- titre
- Destructive photon echo formation in six-wave mixing signals of a MoSe 2 monolayer
- auteur
- Thilo Hahn, Diana Vaclavkova, Miroslav Bartos, Karol Nogajewski, Marek Potemski, Kenji Watanabe, Takashi Taniguchi, Paweł Machnikowski, Tilmann Kuhn, Jacek Kasprzak, Daniel Wigger
- article
- Advanced Science, 2022, 9 (1), pp.2103813. ⟨10.1002/advs.202103813⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen Storage Properties of a New Ti-V-Cr-Zr-Nb High Entropy Alloy
- auteur
- Anis Bouzidi, Laetitia Laversenne, V. Nassif, Erik Elkaim, Claudia Zlotea
- article
- Hydrogen, 2022, 3 (2), pp.270 - 284. ⟨10.3390/hydrogen3020016⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen implantation-induced blistering in diamond : towards diamond layer transfer by the Smart CutTM technique
- auteur
- Cédric Masante, Jon de Vecchy, Frédéric Mazen, Frederic Milesi, Léa Di Cioccio, Julien Pernot, Fernando Lloret, Daniel Araujo, José Carlos Pinero, Névine Rochat, Francois Pierre, Florence Servant, Julie Widiez
- article
- Diamond and Related Materials, 2022, 126, pp.109085. ⟨10.1016/j.diamond.2022.109085⟩
- Accès au texte intégral et bibtex
-
- titre
- Second-order nonlinear optical coefficients of the monoclinic crystal BaGa4Se7
- auteur
- Feng Guo, Elodie Boursier, Patricia Segonds, Alexandra Pena Revellez, Jerôme Debray, Valeriy Badikov, Vladimir Panyutin, Dmitrii Badikov, Valentin Petrov, Benoît Boulanger
- article
- Optics Letters, 2022, 47 (4), pp.842-845. ⟨10.1364/OL.449826⟩
- Accès au bibtex
-
- titre
- Bulk charge density wave and electron-phonon coupling in superconducting copper oxychlorides
- auteur
- Laura Chaix, Blair W. Lebert, Hu Miao, Alessandro Nicolaou, Flora Yakhou, H Cercellier, Stéphane Grenier, N Brookes, A Sulpice, S Tsutsui, A Bossak, L Paolasini, D Santos-Cottin, H Yamamoto, I Yamada, M Azuma, T Nishikubo, T Yamamoto, M Katsumata, M Dean, Matteo d'Astuto
- article
- Physical Review Research, 2022, 4 (3), pp.033004. ⟨10.1103/PhysRevResearch.4.033004⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherent Dynamics of a Single Mn-Doped Quantum Dot Revealed by Four-Wave Mixing Spectroscopy
- auteur
- Jacek Kasprzak, Daniel Wigger, Thilo Hahn, Tomasz Jakubczyk, Łukasz Zinkiewicz, Paweł Machnikowski, Tilmann Kuhn, Jean-François Motte, Wojciech Pacuski
- article
- ACS photonics, 2022, 9 (3), pp.1033-1041. ⟨10.1021/acsphotonics.1c01981⟩
- Accès au texte intégral et bibtex
-
- titre
- Bose Polaron in a quantum fluid of light
- auteur
- Amit Vashisht, Maxime Richard, Anna Minguzzi
- article
- SciPost Physics, 2022, 12 (1), pp.008. ⟨10.21468/SciPostPhys.12.1.008⟩
- Accès au bibtex
-
- titre
- AKLT-states as ZX-diagrams: diagrammatic reasoning for quantum states
- auteur
- Richard D. P. East, John van de Wetering, Nicholas Chancellor, Adolfo G. Grushin
- article
- PRX Quantum, 2022, 3 (1), pp.010302. ⟨10.1103/PRXQuantum.3.010302⟩
- Accès au bibtex
-
- titre
- Assessment of Active Dopants and p–n Junction Abruptness Using In Situ Biased 4D-STEM
- auteur
- Bruno César da Silva, Zahra Sadre Momtaz, Eva Monroy, Hanako Okuno, Jean-Luc Rouviere, David Cooper, Martien Ilse den Hertog
- article
- Nano Letters, 2022, 22 (23), pp.9544-9550. ⟨10.1021/acs.nanolett.2c03684⟩
- Accès au texte intégral et bibtex
-
- titre
- Nonlinear crystals for frequency conversion
- auteur
- Patricia Segonds, Benoît Boulanger, Peter Schunemann
- article
- Photoniques, 2022, 116, pp.64-68. ⟨10.1051/photon/202211664⟩
- Accès au texte intégral et bibtex
-
- titre
- A comparison between holographic and near-field ptychographic X-ray tomography for solid oxide cell materials
- auteur
- F Monaco, M Hubert, Julio Cesar da Silva, V Favre-Nicolin, D Montinaro, P Cloetens, J Laurencin
- article
- Materials Characterization, 2022, 187, pp.111834. ⟨10.1016/j.matchar.2022.111834⟩
- Accès au texte intégral et bibtex
-
- titre
- Sustainable and Efficient Low‐Energy Light Emitters: A Series of One‐Dimensional d 10 Coinage Metal–Organic Chalcogenolates, [M( o ‐SPhCO 2 H)] n
- auteur
- Oleksandra Veselska, Nathalie Guillou, Maria Diaz‐lopez, Pierre Bordet, Gilles Ledoux, Sébastien Lebègue, Adel Mesbah, Alexandra Fateeva, Aude Demessence
- article
- ChemPhotoChem, 2022, 6 (5), pp.e202200030. ⟨10.1002/cptc.202200030⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical net gain measurement on Al0.07Ga0.93N/GaN multi-quantum wells
- auteur
- Quang Minh Thai, Sergi Cuesta, Lou Denaix, Sylvain Hermelin, Olivier Boisron, Edith Bellet-Amalric, C. Bougerol, Stephen Purcell, Le Si Dang, Eva Monroy
- article
- Optics Express, 2022, 30 (14), pp.25219-25233. ⟨10.1364/OE.454381⟩
- Accès au texte intégral et bibtex
-
- titre
- Linking topological features of the Hofstadter model to optical diffraction figures
- auteur
- Francesco Di Colandrea, Alessio d'Errico, Maria Maffei, Hannah M. Price, Maciej Lewenstein, Lorenzo Marrucci, Filippo Cardano, Alexandre Dauphin, Pietro Massignan
- article
- New Journal of Physics, 2022, 24, pp.013028. ⟨10.1088/1367-2630/ac4126⟩
- Accès au texte intégral et bibtex
-
- titre
- Kardar–Parisi–Zhang universality in a one-dimensional polariton condensate
- auteur
- Quentin Fontaine, Davide Squizzato, Florent Baboux, Ivan Amelio, Aristide Lemaître, Martina Morassi, Isabelle Sagnes, Luc Le Gratiet, Abdelmounaim Harouri, Michiel Wouters, Iacopo Carusotto, Alberto Amo, Maxime Richard, Anna Minguzzi, Léonie Canet, Sylvain Ravets, Jacqueline Bloch
- article
- Nature, 2022, 608 (7924), pp.687-691. ⟨10.1038/s41586-022-05001-8⟩
- Accès au texte intégral et bibtex
-
- titre
- Fresnel lens optical fiber tweezers to evaluate the vitality of single algae cells
- auteur
- Asa Asadollahbaik, Aashutosh Kumar, Michael Heymann, Harald Giessen, Jochen Fick
- article
- Optics Letters, 2022, 47 (1), pp.170-173. ⟨10.1364/OL.447683⟩
- Accès au bibtex
-
- titre
- Cyclic Solid‐State Multiple Phase Changes with Tuned Photoemission in a Gold Thiolate Coordination Polymer
- auteur
- Oleksandra Veselska, Shefali Vaidya, Chinmoy Das, Nathalie Guillou, Pierre Bordet, Alexandra Fateeva, Francois Toche, Rodica Chiriac, Gilles Ledoux, Stefan Wuttke, Satoshi Horike, Aude Demessence
- article
- Angewandte Chemie International Edition, 2022, 61 (14), pp.e202117261. ⟨10.1002/anie.202117261⟩
- Accès au bibtex
-
- titre
- Compressibility of structural modulation waves in the chain compounds BaCoX2O7 ( X = As, P): a powder study
- auteur
- Ranjana Das, Bastien Leclercq, Pierre Bouvier, Angel Arévalo-López, Céline Goujon, Jean-Paul Itie, Alain Polian, Olivier Mentre, Claire Colin
- article
- Acta Crystallographica Section B : Structural Science, Crystal Engineering and Materials [2014-..], 2022, 78 (2), pp.162-171. ⟨10.1107/S2052520622001159⟩
- Accès au texte intégral et bibtex
-
- titre
- A classical analog of the quantum Zeeman effect
- auteur
- Pierre Jamet, Aurélien Drezet
- article
- Chaos: An Interdisciplinary Journal of Nonlinear Science, 2022, 32 (3), pp.033101. ⟨10.1063/5.0081254⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystallography in France, a selective instant view
- auteur
- Pierre Bordet, Arie van Der Lee, Joanna Timmins, Etienne Balan, Delphine Cabaret, René Guinebretière, Matias Velázquez, Philippe Guionneau
- article
- IUCr Newsletter, 2022, 30 (1), pp.1-29
- Accès au texte intégral et bibtex
-
- titre
- Magnetic field and pressure phase diagrams of the triangular-lattice antiferromagnet CsCuCl 3 explored via magnetic susceptibility measurements with a proximity-detector oscillator
- auteur
- K. Nihongi, T. Kida, Y. Narumi, Julien Zaccaro, Y. Kousaka, K. Inoue, K. Kindo, Y. Uwatoko, M. Hagiwara
- article
- Physical Review B, 2022, 105 (18), pp.184416. ⟨10.1103/PhysRevB.105.184416⟩
- Accès au texte intégral et bibtex
-
- titre
- Superconductivity in the crystallogenide LaFeSiO1−δ with squeezed FeSi layers
- auteur
- Mad F. Hansen, Jean-Baptiste Vaney, Christophe Lepoittevin, F. Bernardini, Etienne Gaudin, V. Nassif, M.-A. Méasson, A. Sulpice, H. Mayaffre, M.-H. Julien, Sophie Tencé, Andrés Cano, Pierre Toulemonde
- article
- Npj Quantum Materials, 2022, 7 (1), pp.86. ⟨10.1038/s41535-022-00493-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Limits to the sensitivity of a rare-earth-enabled cryogenic vibration sensor
- auteur
- Anne Louchet-Chauvet, Thierry Chanelière
- article
- AVS Quantum Science, 2022, 4 (2), pp.024401. ⟨10.1116/5.0081534⟩
- Accès au bibtex
-
- titre
- Quantitative analysis of the blue-green single-photon emission from a quantum dot in a thick tapered nanowire
- auteur
- Saransh Raj Gosain, Edith Bellet-Amalric, Eric Robin, Martien den Hertog, Gilles Nogues, Joël Cibert, Kuntheak Kheng, David Ferrand
- article
- Physical Review B, 2022, 106 (23), pp.235301. ⟨10.1103/PhysRevB.106.235301⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Complementary and Combinational Logic Based on Monolithic and Single‐Crystalline Al‐Si Heterostructures
- auteur
- Raphael Böckle, Masiar Sistani, Martina Bažíková, Lukas Wind, Zahra Sadre‐momtaz, Martien I den Hertog, Corban G E Murphey, James F Cahoon, Walter M Weber
- article
- Advanced Electronic Materials, 2022, 9 (1), pp.2200567. ⟨10.1002/aelm.202200567⟩
- Accès au texte intégral et bibtex
-
- titre
- Kardar-Parisi-Zhang universality in discrete two-dimensional driven-dissipative exciton polariton condensates
- auteur
- Konstantinos Deligiannis, Quentin Fontaine, Davide Squizzato, Maxime Richard, Sylvain Ravets, Jacqueline Bloch, Anna Minguzzi, Léonie Canet
- article
- Physical Review Research, 2022, 4 (4), pp.043207. ⟨10.1103/PhysRevResearch.4.043207⟩
- Accès au bibtex
-
- titre
- Carbonate complexation enhances hydrothermal transport of rare earth elements in alkaline fluids
- auteur
- Marion Louvel, Barbara Etschmann, Qiushi Guan, Denis Testemale, Joël Brugger
- article
- Nature Communications, 2022, 13, pp.1456. ⟨10.1038/s41467-022-28943-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Speciation and thermodynamic properties of La(III)-Cl complexes in hydrothermal fluids: A combined molecular dynamics and in situ X-ray absorption spectroscopy study
- auteur
- Qiushi Guan, Yuan Mei, Barbara Etschmann, Marion Louvel, Denis Testemale, Riccardo Spezia, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2022, 330, pp.27 - 46. ⟨10.1016/j.gca.2022.02.032⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization of the Sb2S3 Shell Thickness in ZnO Nanowire-Based Extremely Thin Absorber Solar Cells
- auteur
- Guislain Hector, Jako S Eensalu, Atanas Katerski, Herve Roussel, Odette Chaix-Pluchery, Estelle Appert, Fabrice Donatini, Ilona Oja Acik, Erki Kärber, Vincent Consonni
- article
- Nanomaterials, 2022, 12 (2), pp.198. ⟨10.3390/nano12020198⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental demonstration of a quantum engine driven by entanglement and local measurements
- auteur
- Kunkun Wang, Ruqiao Xia, Léa Bresque, Peng Xue
- article
- Physical Review Research, 2022, 4 (3), pp.L032042. ⟨10.1103/PhysRevResearch.4.L032042⟩
- Accès au bibtex
-
- titre
- Operation of a fiber-coupled laser-cooler down to cryogenic temperatures
- auteur
- Rémi Vicente, Giovanni Cittadino, Alberto Di Lieto, Mauro Tonelli, Arnaud Gardelein, Gilles Nogues
- article
- Optics Express, 2022, 30 (8), pp.12929-12936. ⟨10.1364/OE.448930⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum Dot Molecule Devices with Optical Control of Charge Status and Electronic Control of Coupling
- auteur
- Frederik Bopp, Jonathan Rojas, Natalia Revenga, Hubert Riedl, Friedrich Sbresny, Katarina Boos, Tobias Simmet, Arash Ahmadi, David Gershoni, Jacek Kasprzak, Arne Ludwig, Stephan Reitzenstein, Andreas Wieck, Dirk Reuter, Kai Müller, Jonathan J Finley
- article
- Advanced Quantum Technologies, 2022, 5 (10), pp.2200049. ⟨10.1002/qute.202200049⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrastrong coupling between electron tunneling and mechanical motion
- auteur
- Florian Vigneau, Juliette Monsel, Jorge Tabanera, Kushagra Aggarwal, Léa Bresque, Federico Fedele, G.A.D. Briggs, Janet Anders, Juan M.R. Parrondo, Alexia Auffèves, Natalia Ares
- article
- Physical Review Research, 2022, 4 (4), pp.043168. ⟨10.1103/PhysRevResearch.4.043168⟩
- Accès au bibtex
-
- titre
- Optimization Strategies Used for Boosting Piezoelectric Response of Biosensor Based on Flexible Micro-ZnO Composites
- auteur
- Xiaoting Zhang, Jose Villafuerte, Vincent Consonni, Eirini Sarigiannidou, Jean-Fabien Capsal, Alexis Bruhat, Daniel Grinberg, Lionel Petit, Pierre-Jean Cottinet, Minh-Quyen Le
- article
- Biosensors, 2022, 12 (4), pp.245. ⟨10.3390/bios12040245⟩
- Accès au texte intégral et bibtex
-
- titre
- A Comparative Study of Nanocrystalline Fe38.5 Co38.5 Nb7 P15Cu1 Alloys Obtained by Mechanical Alloying and Rapid Quenching
- auteur
- Ana Cotai, Salvatore Miraglia, Bogdan Viorel Neamtu, Traian Florin Marinca, H.F. Chicinaş, Olivier Isnard, Ionel Chicinas
- article
- Archives of Metallurgy and Materials, 2022, 2, pp.555-561. ⟨10.24425/amm.2022.137790⟩
- Accès au bibtex
-
- titre
- Magnetic properties of the (Mo2/3R1/3)2AlC(R=Ho,Dy ) i-MAX phases studied by x-ray magnetic circular dichroism and neutron diffraction
- auteur
- Maxime Barbier, Fabrice Wilhelm, Claire Colin, Christine Opagiste, Elsa Lhotel, Damir Pinek, Youngsoo Kim, Daniel Braithwaite, Eric Ressouche, Philippe Ohresser, Edwige Otero, Andrei Rogalev, Thierry Ouisse
- article
- Physical Review B, 2022, 105 (17), pp.174421. ⟨10.1103/PhysRevB.105.174421⟩
- Accès au texte intégral et bibtex
-
Communication dans un congrès
- titre
- Deciphering Structure -ORR Activity -Stability Relationships Thanks to Physical and Electrochemical Markers
- auteur
- Frédéric Maillard, Raphaël Chattot, Olivier Le Bacq, Alain Pasturel, Pierre Bordet, Jakub Drnec, Laetitia Dubau
- article
- International Conference Non-Equilibrium and Environment Effects on Nanoalloys 2022, Dec 2022, Paris, France
- Accès au bibtex
-
- titre
- Deep-Depletion diamond metal-oxide-semiconductor field-effect transistor with source-field plate for power converters
- auteur
- Damien Michez, Marine Couret, Juliette Letellier, Khaled Driche, Julien Pernot, Anne Castelan, Nicolas C. Rouger
- article
- 32nd International Conference on Diamond and Carbon Materials, Sep 2022, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Non-volatile tuning of normally-on and off states of the deep depletion ZrO2/diamond MOSFETs
- auteur
- Beatriz Soto, Marine Couret, Jesús Cañas, Anne Castelan, Nicolas C. Rouger, Daniel Araujo, Maria del Pilar Villar, Julien Pernot
- article
- 32nd International Conference on Diamond and Carbon Materials, Sep 2022, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Comparison of diamond based non-volatile photo-switch using phosphorus and nitrogen deep donors as gate dopants
- auteur
- Martin Kah, Cédric Masante, Franz Koeck, Nicolas C. Rouger, Robert Nemanich, Julien Pernot
- article
- 32nd International Conference on Diamond and Carbon Materials, Sep 2022, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Development of rare earth-free aluminium borate-based phosphors and composite films
- auteur
- Jérémy Cathalan, Audrey Potdevin, Mathieu Salaun, Alain Ibanez, Isabelle Gautier-Luneau, Geneviève Chadeyron
- article
- 6th International Conference on the Physics of Optical Materials and Devices (ICOM 2022), Aug 2022, Belgrade, Serbia
- Accès au bibtex
-
- titre
- New generation of aluminium borate phosphors for white leds lighting prepared by "chimie douce
- auteur
- Mathieu Salaun, Jeremy Cathalan, Vincent Maurel, Didier Gasparutto, Christine Saint-Pierre, Isabelle Gautier-Luneau, Alain Ibanez, Audrey Potdevin, Geneviève Chadeyron
- article
- SolGel 2022, ISGS, Jul 2022, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Structural disorder in ORR electrocatalysis: operation mode, practical descriptors to quantify and foreseen challenges
- auteur
- Raphaël Chattot, Clément Atlan, Arnaud Viola, Corentin Chatelier, Isaac Martens, Camille Roiron, Jakub Drnec, Pierre Bordet, Marie‐ingrid Richard, Laetitia Dubau, Frédéric Maillard
- article
- 2022 Fuel Cell (GRS) - Conference on Fuel Cells : From Fundamental Electrochemistry to Engineering Applied Materials, Jul 2022, Smithfield (RI), United States
- Accès au bibtex
-
- titre
- Génération THz par différence de fréquences optiques en accord de phase
- auteur
- Benoît Boulanger, Cyril Bernerd, Théodore Remark, Patricia Segonds, Jérôme Debray, Alexandra Pena Revellez, Bertrand Ménaert, Jean-François Roux, Emilie Hérault, Jean-Louis Coutaz
- article
- Optique Nice 2022, Jul 2022, Nice, France
- Accès au bibtex
-
- titre
- Elaboration of epitaxial rubidium titanyl phosphate (RTP) thin films by pulsed laser deposition (PLD)
- auteur
- Abdarahamane Thiam, Mathieu Salaün, Benoît Boulanger
- article
- Optique Nice, Jul 2022, Nice, France
- Accès au bibtex
-
- titre
- Cristaux non linéaires pour la génération de triplets de photons : du milieu massif au guide d'onde
- auteur
- Kamel Bencheikh, Enky Oudot, Véronique Boutou, Corinne Félix, Augustin Vernay, Julien Bertrand, Florent Bassignot, Mathieu Chauvet, Félix Bussières, Hugo Zbinden, Ariel Levenson, Benoît Boulanger
- article
- Optique Nice Conférence SFO 2022, Jul 2022, Nice, France
- Accès au bibtex
-
- titre
- Novel detection schemes for laser frequency stabilization with spectral hole burning in Eu3+:Y2SiO5
- auteur
- Bess Fang, Xiuji Lin, Michael Hartman, Shuo Zhang, Alban Ferrier, Philippe Goldner, Signe Seidelin, Yann Le Coq
- article
- 2022 Rare Earth Ions for Quantum Information Workshop, Jun 2022, Edinburgh (online), United Kingdom
- Accès au bibtex
-
- titre
- Non-volatile photo-switch using a diamond pn junction diamond
- auteur
- Cédric Masante, Martin Kah, Clément Hébert, Nicolas C. Rouger, Julien Pernot
- article
- 15th International Conference on New Diamond and Nano Carbons NDNC 2022, Jun 2022, Kanazawa, Japan
- Accès au bibtex
-
- titre
- Commutation time of non-volatile photo-switch based on diamond Junction Field Effect Transistor
- auteur
- Martin Kah, Cédric Masante, Fabrice Donatini, Gwenolé Jacopin, Nicolas C. Rouger, Julien Pernot
- article
- 15th International Conference on New Diamond and Nano Carbons NDNC 2022, Jun 2022, Kanazawa, Japan
- Accès au bibtex
-
- titre
- Decorrelation of internal quantum efficiency and lasing threshold in AlGaN-based separate confinement heterostructures
- auteur
- Sergi Cuesta, Florian Castioni, Lou Denaix, Nicolas Bernier, Daniel Le Si Dang, Eva Monroy
- article
- Compound Semiconductor Week 2022, University of Michigan, Jun 2022, Ann Arbor, United States
- Accès au bibtex
-
- titre
- Towards high buffer breakdown field and high temperature stability AlGaN channel HEMTs on silicon substrate
- auteur
- Jash Mehta, Idriss Abid, Julien Bassaler, Julien Pernot, Philippe Ferrandis, Stephanie Rennesson, T.H. Ngo, Maud Nemoz, Sébastian Tamariz, Yvon Cordier, Fabrice Semond, F Medjdoub
- article
- Compound Semiconductor Week, CSW 2022, Jun 2022, Ann Arbor, MI, United States. 2 p
- Accès au texte intégral et bibtex
-
- titre
- Highly sensitive resistive SThM probes for nanoscale thermometry
- auteur
- Raul Swami, Jessy Paterson, Druv Singhal, Gwennaëlle Julié, Simon Le-Denmat, Jean-François Motte, Ali Alkurdi, Jun Yin, Jean-François Robillard, Georges Hamaoui, Pierre-Olivier Chapuis, Séverine Gomès, Olivier Bourgeois
- article
- Eurotherm 114: Nanoscale and Microscale Heat Transfer VII, May 2022, Palermo, Italy
- Accès au bibtex
-
- titre
- Design of a Source Field-Plated Deep-Depletion Diamond MOSFETs
- auteur
- Marine Couret, Nicolas C. Rouger, Khaled Driche, Juliette Letellier, Anne Castelan, Julien Pernot
- article
- MRS Spring 2022 - Symposium EQ01 - Ultra-Wide Bandgap Materials and Devices, May 2022, Honolulu, United States
- Accès au bibtex
-
- titre
- Anisotropic mobility in AlGaN/GaN heterostructure with thin GaN on AlN/Sapphire template
- auteur
- Julien Bassaler, Rémi Comyn, C. Bougerol, Yvon Cordier, F Medjdoub, Philippe Ferrandis
- article
- WOCSDICE EXMATEC 2022, May 2022, Ponta Delgada, Portugal
- Accès au bibtex
-
- titre
- Investigation on GaN channel thickness downscaling in high electron mobility transistor structures grown on AlN bulk substrate
- auteur
- Reda Elwaradi, C. Bougerol, Jash Mehta, Maud Nemoz, F Medjdoub, Yvon Cordier
- article
- WOCSDICE EXMATEC 2022, May 2022, Ponta Delgada, Portugal
- Accès au bibtex
-
- titre
- The Rocher du Château (Bessans, Savoie) schematic rock art site.
- auteur
- Aurélie Chassin De Kergommeaux, Claudia Defrasne, Emilie Chalmin, Quentin Lemasson, Florian Kergourlay, Pauline Martinetto, Éric Goemaere
- article
- XXIIIe colloque du GMPCA : Archéométrie 2022, EDYTEM, May 2022, Chambéry, France
- Accès au bibtex
-
- titre
- Towards Multi-Spectral Hole Probing in Laser Frequency Stabilization
- auteur
- M. T. Hartman, S. Zhang, X. Lin, N Lučić, N. Galland, R. Le Targat, B. Fang, Y. Le Coq, A. Ferrier, P. Goldner, S. Seidelin
- article
- 2022 Joint Conference of the European Frequency and Time Forum and IEEE International Frequency Control Symposium (EFTF/IFCS), Apr 2022, Paris, France. pp.1-3, ⟨10.1109/EFTF/IFCS54560.2022.9850914⟩
- Accès au texte intégral et bibtex
-
- titre
- Organosilica nanoparticles for nanomedicine: colloidal stability and degradability
- auteur
- X. Cattoen, Shridevi Shenoi Perdoor, Jonas Croissant, Michel Wong Chi Man, Jean-Olivier Durand, Magali Gary-Bobo, Fabien Dubois, Alain Ibanez
- article
- 3rd International Conference on Materials Science & Engineering, Apr 2022, Boston, United States
- Accès au bibtex
-
- titre
- Origin of second harmonic generation in gold plasmonic nanostructures
- auteur
- Sanro Mathew, Maeliss Ethis de Corny, Nicolas Chauvet, Laureen Moreaud, Guillaume Laurent, Serge Huant, Erik Dujardin, Gilles Nogues, Guillaume Bachelier
- article
- SPIE Photonics Europe 2022, SPIE, Apr 2022, Strasbourg (FR), France. pp.22, ⟨10.1117/12.2621950⟩
- Accès au texte intégral et bibtex
-
- titre
- Commutation time of non-volatile photo-switch based on diamond Junction Field Effect Transistor
- auteur
- Martin Kah, Cédric Masante, Fabrice Donatini, Gwenolé Jacopin, Nicolas C. Rouger, Julien Pernot
- article
- 26th Hasselt Diamond Workshop - SBDD XXVI, Mar 2022, Hasselt, Belgium
- Accès au bibtex
-
- titre
- How a diamond pn junction can be used to fabricate a non-volatile photo-switch?
- auteur
- Cédric Masante, Martin Kah, Clément Hébert, Nicolas C. Rouger, Julien Pernot
- article
- 26th Hasselt Diamond Workshop - SBDD XXVI, Mar 2022, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Electro-thermal simulations of a diamond MOSFET
- auteur
- Marine Couret, Anne Castelan, Juliette Letellier, Khaled Driche, Julien Pernot, Nicolas C. Rouger
- article
- 26th Hasselt Diamond Workshop - SBDD XXVI, Mar 2022, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Study of AlxGa1-xN/AlN quantum dots in the whole compositional range as potential sources of far UVC for disinfection
- auteur
- Anjali Harikumar, Fabrice Donatini, Edith Bellet-Amalric, C. Bougerol, Christophe Dujardin, Stephen Purcell, Eva Monroy
- article
- Gallium Nitride Materials and Devices XVII, Jan 2022, San Francisco, United States. pp.42, ⟨10.1117/12.2607614⟩
- Accès au bibtex
-
- titre
- Study of the optical properties of AlGaN/GaN separate confinement heterostructures for low-threshold e-beam pumped UV lasers
- auteur
- Sergi Cuesta Arcos, Lou Denaix, Quang Minh Thai, Edith Bellet-Amalric, C. Bougerol, Stephen Purcell, Le Si Dang, Eva Monroy
- article
- Gallium Nitride Materials and Devices XVII, Jan 2022, San Francisco, United States. pp.63, ⟨10.1117/12.2606355⟩
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Finite-difference method for the calculation of X-ray spectroscopies
- auteur
- Yves Joly, Aline Y. Ramos, O. Bunău
- article
- International Tables for Crystallography, 1, International Union of Crystallography, 2022, ⟨10.1107/S1574870722001598⟩
- Accès au bibtex
-
- titre
- Research of Efficient and Fast Scintillator Garnet Crystals: The Role of Ce4+ in Ce3+, Mg2+-Co-Doped Gd3Al2Ga3O12 from Spectroscopic and XANES Characterizations
- auteur
- Georges Boulon, Yannick Guyot, Małgorzata. Guzik, Géraldine Dantelle, Denis Testemale, S. Kurosawa, K. Kamada, A. Yoshikawa
- article
- Light-Matter Interactions Towards the Nanoscale, Springer Netherlands, pp.219-236, 2022, NATO Science for Peace and Security Series B: Physics and Biophysics, ⟨10.1007/978-94-024-2138-5_12⟩
- Accès au bibtex
-
- titre
- A version of de Broglie's double solution theory reproducing Landau's quantization in a uniform magnetic field
- auteur
- Pierre Jamet, Aurélien Drezet
- article
- Pilot waves and quantum hydrodynamical analogs, 2022
- Accès au bibtex
-
HDR
- titre
- Ultrasensitive force field sensors based on suspended nanowires: Novel explorations in cavity nano-optomechanics, proximity forces and hybrid spin qubit nanomechanics
- auteur
- O Arcizet
- article
- Optics [physics.optics]. Université Grenoble Alpes, 2022
- Accès au texte intégral et bibtex
-
Cours
- titre
- In situ spectroscopy of hydrothermal fluids: What can we learn with autoclaves and photons?
- auteur
- Denis Testemale
- article
- École thématique. Canada. 2022
- Accès au texte intégral et bibtex
-
Autre publication scientifique
- titre
- Structural properties of B-doped VO2 epitaxial films
- auteur
- Aude Bailly, Pierre Bouvier, Stéphane Grenier, Michael Gaudin, Aline Y. Ramos, L. Laversenne
- article
- 2022
- Accès au bibtex
-
Poster de conférence
- titre
- Towards Spectral-Hole Burning at Dilution Temperatures for Ultra Frequency-Stable Lasers
- auteur
- M. T. Hartman, X Lin, S Zhang, N Galland, N Lučić, R Le Targat, A Ferrier, P Goldner, B Fang, S Seidelin, Y Le Coq
- article
- Assemblée Générale FIRST-TF 2022, Oct 2022, Besançon, France
- Accès au texte intégral et bibtex
-
- titre
- Development of rare earth-free aluminium borate-based phosphors and composite films
- auteur
- Jérémy Cathalan, Audrey Potdevin, Mathieu Salaun, Alain Ibanez, Isabelle Gautier-Luneau, Geneviève Chadeyron
- article
- Sol-Gel 2022, Jul 2022, Lyon, France.
- Accès au bibtex
-
- titre
- Spectral Hole Burning in Eu:YSO for ultra-stable lasers and optical frequency metrology
- auteur
- Bess Fang, Xiuji Lin, Shuo Zhang, Nicolas Galland, Michael Hartman, Nemanja Lucic, Signe Seidelin, Alban Ferrier, Philippe Goldner, Yann Le Coq
- article
- ANF Croissance de cristaux pour l’optique et techniques de caractérisations, mise en forme, micro nanostructuration et intégration dispositifs associées, Apr 2022, Autrans, France
- Accès au bibtex
-
Rapport
- titre
- Les peintures pariétales schématiques de l'abri Otello (Saint-Rémy-de-Provence, Bouches-du-Rhône)
- auteur
- Claudia Defrasne, Marion Massé, Manuel Giraud, Stéphane Le Mouélic, Bernard Schmitt, Emilie Chalmin, Marianne Le Turnier, Coline Théron, Pauline Martinetto, Pierre Bordet, Emmanuel Malet
- article
- CNRS. 2022
- Accès au bibtex
-
- titre
- Avis du COMETS n° 2022-43 : « Intégrer les enjeux environnementaux à la conduite de la recherche – Une responsabilité éthique »
- auteur
- Comité Ethique, Olivier Leclerc, Philippe Askenazy, Etienne Bustarret, Henri Carayol, Éric Guilyardi, Magali Jacquier, Christine Noiville, Stéphanie Thiébault
- article
- Avis 2022-43, Comité d'éthique du CNRS - COMETS. 2022
- Accès au texte intégral et bibtex
-
- titre
- Bilan carbone 2018 de l'Institut Néel
- auteur
- O Cépas, Cécile Delacour, V Reita, J Robert, Philippe-Emmanuel Roche, S Triqueneaux
- article
- [Rapport Technique] Institut Néel. 2022, 60 p
- Accès au texte intégral et bibtex
-
Thèse
- titre
- Luminophores sans terres rares à base d'aluminoborate pour des applications dans des dispositifs à LED
- auteur
- Jérémy Cathalan
- article
- Génie chimique. Université Grenoble Alpes [2020-..], 2022. Français. ⟨NNT : 2022GRALI084⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-dose electron diffraction tomography (LD-EDT) for highly sensitive materials
- auteur
- Emre Yoruk
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes [2020-..], 2022. English. ⟨NNT : 2022GRALI076⟩
- Accès au texte intégral et bibtex
-
- titre
- Cathodoluminescence lifetime spectroscopy for efficient III-nitride LEDs
- auteur
- Sylvain Finot
- article
- Physics [physics]. Université Grenoble Alpes [2020-..], 2022. English. ⟨NNT : 2022GRALY064⟩
- Accès au texte intégral et bibtex
-
- titre
- Energetics of quantum measurements
- auteur
- Léa Bresque
- article
- Quantum Physics [quant-ph]. Université Grenoble Alpes [2020-..], 2022. English. ⟨NNT : 2022GRALY061⟩
- Accès au texte intégral et bibtex
-
- titre
- influence of the dielectric substrate on the electronic band structure in a monolayer of tmdc
- auteur
- Karl Kloss
- article
- Physics [physics]. Université Grenoble Alpes [2020-..], 2022. English. ⟨NNT : 2022GRALY045⟩
- Accès au texte intégral et bibtex
-
- titre
- High-pressure and high-temperature synthesis of light perovskite hydrides for hydrogen storage
- auteur
- Julius Andrew Nunez
- article
- Material chemistry. Université Grenoble Alpes [2020-..], 2022. English. ⟨NNT : 2022GRALI026⟩
- Accès au texte intégral et bibtex
-
- titre
- Causal and logical loops from quantum theory
- auteur
- Hippolyte Dourdent
- article
- Quantum Physics [quant-ph]. Université Grenoble Alpes [2020-..], 2022. English. ⟨NNT : 2022GRALY006⟩
- Accès au texte intégral et bibtex
-
- titre
- Connecting physical and chemical properties with material appearance
- auteur
- Morgane Gerardin
- article
- Image Processing [eess.IV]. Université Grenoble Alpes [2020-..], 2022. English. ⟨NNT : 2022GRALM056⟩
- Accès au texte intégral et bibtex
-
- titre
- Dispositifs de puissance en diamant à base de contact Schottky : réglage des propriétés interfaciales pour démontrer les performances ultimes du diamant
- auteur
- Jesus Canas Fernandez
- article
- Micro et nanotechnologies/Microélectronique. Université Grenoble Alpes [2020-..]; Universidad de Cádiz, 2022. Français. ⟨NNT : 2022GRALT004⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Planar Josephson Junctions Templated by Nanowire Shadowing
- auteur
- P. Zhang, A. Zarassi, M. Pendharkar, J. S. Lee, L. Jarjat, V. van de Sande, B. Zhang, S. Mudi, H. Wu, S. Tan, C. P. Dempsey, A. P. Mcfadden, S. D. Harrington, B. Shojaei, J. T. Dong, A. -H. Chen, Moïra Hocevar, C. J. Palmstrøm, S. M. Frolov
- article
- 2022
- Accès au bibtex
-
- titre
- Missing odd-order Shapiro steps do not uniquely indicate fractional Josephson effect
- auteur
- P. Zhang, S. Mudi, M. Pendharkar, J. S. Lee, C. P. Dempsey, A. P. Mcfadden, S. D. Harrington, J. T. Dong, H. Wu, A. -H. Chen, Moïra Hocevar, C. J. Palmstrøm, S. M. Frolov
- article
- 2022
- Accès au bibtex
-
- titre
- Causal nonseparability and its implications for spatiotemporal relations
- auteur
- Laurie Letertre
- article
- 2022
- Accès au bibtex
-
- titre
- A version of de Broglie's double solution theory reproducing Landau's quantization in a uniform magnetic field
- auteur
- Pierre Jamet, Aurélien Drezet
- article
- 2022
- Accès au bibtex
-
- titre
- In defense of Relational Quantum Mechanics: A note on `Qubits are not observers
- auteur
- Aurélien Drezet
- article
- 2022
- Accès au bibtex
-
2021
Article dans une revue
- titre
- Restoring the Coherence of Quantum Emitters through Optically Driven Motional Narrowing Forces
- auteur
- Mathias Pont, Anne-Laurence Phaneuf-L’heureux, Régis André, Sébastien Francoeur
- article
- Nano Letters, 2021, 21 (24), pp.10193-10198. ⟨10.1021/acs.nanolett.1c02898⟩
- Accès au bibtex
-
- titre
- Luminescent Nd3+, Cr3+ codoped YAG nanocrystals for thermal sensing: Influence of the excitation wavelength
- auteur
- Geraldine Dantelle, Valérie Reita, Alain Ibanez, Gilles Ledoux, Christophe Dujardin
- article
- Physica B: Condensed Matter, 2021, 628, pp.413622. ⟨10.1016/j.physb.2021.413622⟩
- Accès au texte intégral et bibtex
-
- titre
- Correction to “In-Plane Magnetic Domains and Néel-Like Domain Walls in Thin Flakes of the Room Temperature CrTe 2 Van der Waals Ferromagnet”
- auteur
- Anike Purbawati, Johann Coraux, Jan Vogel, Abdellali Hadj-Azzem, Nianjheng Wu, Nedjma Bendiab, David Jegouso, Julien Renard, Läetitia Marty, Vincent Bouchiat, André Sulpice, Lucia Aballe, Michael Foerster, Francesca Genuzio, Andrea Locatelli, Tevfik Onur Menteş, Zheng Vitto Han, Xingdan Sun, Manuel Núñez-Regueiro, Nicolas Rougemaille
- article
- ACS Applied Materials & Interfaces, 2021, 13 (49), pp.59592. ⟨10.1021/acsami.1c22588⟩
- Accès au bibtex
-
- titre
- The indeterminate present and the open future
- auteur
- Cristian Mariani, Giuliano Torrengo
- article
- Synthese, 2021, 199 (1-2), pp.3923-3944. ⟨10.1007/s11229-020-02963-y⟩
- Accès au bibtex
-
- titre
- Investigation of spin-phonon coupling and local magnetic properties in magnetoelectric Fe2TeO6
- auteur
- P. Pal, Shalini Badola, P.K. Biswas, Ranjana Das, Surajit Saha, S.D. Kaushik, Parasmani Rajput, P.N. Vishwakarma, A.K. Singh
- article
- Journal of Magnetism and Magnetic Materials, 2021, 540, pp.168512. ⟨10.1016/j.jmmm.2021.168512⟩
- Accès au bibtex
-
- titre
- Magnetotransport properties of polycrystalline La0.75Ho0.05Sr0.2MnO3
- auteur
- A Elghoul, A Krichene, Nassira Boudjada, W Boujelben
- article
- Bulletin of Materials Science, 2021, 44 (4), pp.274. ⟨10.1007/s12034-021-02565-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Electronic transport properties and quantum localization effects monitored by selective functionalization in Bernal bilayer graphene
- auteur
- Jouda Jemaa Khabthani, Ahmed Missaoui, Didier Mayou, Guy Trambly de Laissardière
- article
- Physical Review B, 2021, 104 (24), pp.245125. ⟨10.1103/PhysRevB.104.245125⟩
- Accès au texte intégral et bibtex
-
- titre
- Spacetime functionalism from a realist perspective
- auteur
- Vincent Lam, Christian Wüthrich
- article
- Synthese, 2021, 199 (Suppl. 2), pp.335-353. ⟨10.1007/s11229-020-02642-y⟩
- Accès au bibtex
-
- titre
- Magnetic phase coexistence in nanosized La0.5–xHoxCa0.5MnO3 manganites
- auteur
- S. Dhieb, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Journal of the Korean Ceramic Society, 2021, 59 (3), pp.312-321. ⟨10.1007/s43207-021-00165-x⟩
- Accès au bibtex
-
- titre
- Nanometer-Scale Ge-Based Adaptable Transistors Providing Programmable Negative Differential Resistance Enabling Multivalued Logic
- auteur
- Masiar Sistani, Raphael Böckle, David Falkensteiner, Minh Anh Luong, Martien I den Hertog, Alois Lugstein, Walter M Weber
- article
- ACS Nano, 2021, 15 (11), pp.18135-18141. ⟨10.1021/acsnano.1c06801⟩
- Accès au texte intégral et bibtex
-
- titre
- Drastic Ce+3 insertion enhancement in YAG garnet nanocrystals through a solvothermal route
- auteur
- Alexandra Cantarano, Denis Testemale, Estelle Homeyer, Hanako Okuno, Audrey Potdevin, Christophe Dujardin, Alain Ibanez, Géraldine Dantelle
- article
- Frontiers in Materials, 2021, 8, pp.768087. ⟨10.3389/fmats.2021.768087⟩
- Accès au texte intégral et bibtex
-
- titre
- Designing a Multifunctional Catalyst for the Direct Production of Gasoline-Range Isoparaffins from CO 2
- auteur
- Abhay Dokania, Samy Ould-Chikh, Adrian Ramirez, Jose Luis Cerrillo, Antonio Aguilar, Artem Russkikh, Ahmed Alkhalaf, Idoia Hita, Anastasiya Bavykina, Genrikh Shterk, Nimer Wehbe, Alain Prat, Eric Lahera, Pedro Castaño, Emiliano Fonda, Jean-Louis F Hazemann, Jorge Gascon
- article
- JACS Au, 2021, 1 (11), pp.1961-1974. ⟨10.1021/jacsau.1c00317⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of a phosphorescent cationic iridium(III) complex displaying blue shift in crystals
- auteur
- Emiliano Martίnez-Vollbert, Christian Philouze, Isabelle Gautier-Luneau, Yohann Moreau, Pierre-Henri Lanoë, Frédérique Loiseau
- article
- Physical Chemistry Chemical Physics, 2021, 23 (43), pp.24789-24800. ⟨10.1039/D1CP03341G⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis and characterisation of amorphous Fe38.5Co38.5Nb7B15Cu1 powders via mechanosynthesis using industrial raw materials
- auteur
- Ana Cotai, Bogdan Viorel Neamţu, Florin Popa, Traian Florin Marinca, Olivier Isnard, Ionel Chicinaş
- article
- Journal of Alloys and Compounds, 2021, 880, pp.160497. ⟨10.1016/j.jallcom.2021.160497⟩
- Accès au bibtex
-
- titre
- Limitations in Quantum Computing from Resource Constraints
- auteur
- Marco Fellous-Asiani, Jing Hao Chai, Robert Whitney, Alexia Auffèves, Hui Khoon Ng
- article
- PRX Quantum, 2021, 2 (4), pp.040335. ⟨10.1103/PRXQuantum.2.040335⟩
- Accès au bibtex
-
- titre
- Conflict-free collective stochastic decision making by orbital angular momentum of photons through quantum interference
- auteur
- Takashi Amakasu, Nicolas Chauvet, Guillaume Bachelier, Serge Huant, Ryoichi Horisaki, Makoto Naruse
- article
- Scientific Reports, 2021, 11, pp.21117. ⟨10.1038/s41598-021-00493-2⟩
- Accès au texte intégral et bibtex
-
- titre
- A mechanical analog of Bohr’s atom based on de Broglie’s double-solution approach
- auteur
- P Jamet, A Drezet
- article
- Chaos: An Interdisciplinary Journal of Nonlinear Science, 2021, 31 (10), pp.103120. ⟨10.1063/5.0067545⟩
- Accès au texte intégral et bibtex
-
- titre
- Justifying Born’s Rule Pα = |Ψα|2 Using Deterministic Chaos, Decoherence, and the de Broglie–Bohm Quantum Theory
- auteur
- Aurélien Drezet
- article
- Entropy, 2021, 23 (11), pp.1371. ⟨10.3390/e23111371⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence for a coupled magnetic-crystallographic transition in La0.9Ce0.1Fe12B6
- auteur
- L. V. B. Diop, T. Faske, Mehdi Amara, D. Koch, O. Isnard, W. Donner
- article
- Physical Review B, 2021, 104 (13), pp.134412. ⟨10.1103/PhysRevB.104.134412⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis of (MgCoNiCuZn)O entropy-stabilized oxides using solution-based routes: influence of composition on phase stability and functional properties
- auteur
- Walid Mnasri, David Bérardan, Sandrine Tusseau-Nenez, Thierry Gacoin, Isabelle Maurin, Nita Dragoe
- article
- Journal of Materials Chemistry C, 2021, 9 (42), pp.15121-15131. ⟨10.1039/D1TC03287A⟩
- Accès au bibtex
-
- titre
- Toward Crack-Free Core–Shell GaN/AlGaN Quantum Wells
- auteur
- Vincent Grenier, Sylvain Finot, Bruno Gayral, Catherine Bougerol, Gwénolé Jacopin, Joël Eymery, Christophe Durand
- article
- Crystal Growth & Design, 2021, 21 (11), pp.6504-6511. ⟨10.1021/acs.cgd.1c00943⟩
- Accès au texte intégral et bibtex
-
- titre
- Decorrelation of internal quantum efficiency and lasing threshold in AlGaN-based separate confinement heterostructures for UV emission
- auteur
- Sergi Cuesta, Lou Denaix, Le Si Dang, Eva Monroy
- article
- Applied Physics Letters, 2021, 119 (15), pp.151103. ⟨10.1063/5.0066039⟩
- Accès au texte intégral et bibtex
-
- titre
- Non‐Volatile Photo‐Switch Using a Diamond pn Junction
- auteur
- Cédric Masante, Martin Kah, Clément Hébert, Nicolas C. Rouger, Julien Pernot
- article
- Advanced Electronic Materials, 2021, 8, pp.2100542. ⟨10.1002/aelm.202100542⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic-field-induced structural phase transition and giant magnetoresistance in La0.85Ce0.15Fe12B6
- auteur
- L. V. B. Diop, T. Faske, O. Isnard, W. Donner
- article
- Physical Review Materials, 2021, 5 (10), pp.104401. ⟨10.1103/PhysRevMaterials.5.104401⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic-field-induced ferroelectric states in centrosymmetric R2BaCuO5 (R=Dy and Ho)
- auteur
- Premakumar Yanda, F Orlandi, P Manuel, Nassira Boudjada, J Rodriguez-Carvajal, A Sundaresan
- article
- Physical Review B, 2021, 104 (14), pp.144401. ⟨10.1103/physrevb.104.144401⟩
- Accès au texte intégral et bibtex
-
- titre
- Polariton quantization modes and whispering gallery modes in a cylindrical microcavity
- auteur
- L.V. Kotova, P.G. Savvidis, L. Besombes, V.P. Kochereshko
- article
- Journal of Luminescence, 2021, 238, pp.118220. ⟨10.1016/j.jlumin.2021.118220⟩
- Accès au texte intégral et bibtex
-
- titre
- Alternative experimental ways to access entropy production
- auteur
- Zheng Tan, Patrice Camati, Guillaume Cœuret Cauquil, Alexia Auffèves, Igor Dotsenko
- article
- Physical Review Research, 2021, 3 (4), pp.043076. ⟨10.1103/PhysRevResearch.3.043076⟩
- Accès au bibtex
-
- titre
- Controlled morphology synthesis of nanostructured β-AlF3– x(OH)x with tunable specific surface area
- auteur
- Valentine Camus-Génot, Amandine Guiet, Jérôme Lhoste, Franck Fayon, Monique Body, Stéphanie Kodjikian, Romain Moury, Marc Leblanc, Jean-Louis Bobet, Christophe Legein, Vincent Maisonneuve
- article
- Crystal Growth & Design, 2021, 21 (10), pp.5914-5927. ⟨10.1021/acs.cgd.1c00808⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterization of Diamond and Silicon Carbide Detectors With Fission Fragments
- auteur
- M.L. Gallin-Martel, Y H Kim, L Abbassi, A. Bes, C Boiano, S Brambilla, J. Collot, G Colombi, T Crozes, S. Curtoni, D. Dauvergne, C Destouches, F Donatini, L. Gallin-Martel, O. Ghouini, J.Y. Hostachy, Ł W Iskra, M Jastrzab, G. Kessedjian, U Köster, A. Lacoste, A Lyoussi, S. Marcatili, J F Motte, J.F. Muraz, T Nowak, L Ottaviani, Julien Pernot, A. Portier, W Rahajandraibe, M. Ramdhane, M Rydygier, C. Sage, A Tchoualack, L. Tribouilloy, M. Yamouni
- article
- Frontiers in Physics, 2021, 9, pp.732730. ⟨10.3389/fphy.2021.732730⟩
- Accès au texte intégral et bibtex
-
- titre
- Probing nonclassical light fields with energetic witnesses in waveguide quantum electrodynamics
- auteur
- Maria Maffei, Patrice Camati, Alexia Auffèves
- article
- Physical Review Research, 2021, 3 (3), pp.L032073. ⟨10.1103/PhysRevResearch.3.L032073⟩
- Accès au bibtex
-
- titre
- Emergent quantum indeterminacy
- auteur
- Cristian Mariani
- article
- Ratio, 2021, 34 (3), pp.183-192. ⟨10.1111/rati.12305⟩
- Accès au bibtex
-
- titre
- Quantum Circuits with Classical Versus Quantum Control of Causal Order
- auteur
- Julian Wechs, Hippolyte Dourdent, Alastair Abbott, Cyril Branciard
- article
- PRX Quantum, 2021, 2, pp.030335. ⟨10.1103/PRXQuantum.2.030335⟩
- Accès au texte intégral et bibtex
-
- titre
- Local field effects in ultrafast light–matter interaction measured by pump-probe spectroscopy of monolayer MoSe 2
- auteur
- Aleksander Rodek, Thilo Hahn, Jacek Kasprzak, Tomasz Kazimierczuk, Karol Nogajewski, Karolina Ewa Połczyńska, Kenji Watanabe, Takashi Taniguchi, Tilmann Kuhn, Paweł Machnikowski, Marek Potemski, Daniel Wigger, Piotr Kossacki
- article
- Nanophotonics, 2021, 10 (10), pp.2717-2728. ⟨10.1515/nanoph-2021-0194⟩
- Accès au texte intégral et bibtex
-
- titre
- Al–Ge–Al nanowire heterostructure: from single‐hole quantum dot to Josephson effect
- auteur
- Jovian Delaforce, Masiar Sistani, R. B. G. Kramer, Minh Luong, Nicolas Roch, Walter Weber, Martien den Hertog, Eric Robin, Cecile Naud, Alois Lugstein, Olivier Buisson
- article
- Advanced Materials, 2021, 33 (39), pp.2101989. ⟨10.1002/adma.202101989⟩
- Accès au texte intégral et bibtex
-
- titre
- Effects of the C interstitial doping on the magnetic properties of LTP MnBi
- auteur
- R. Hirian, R. Dudric, O. Isnard, K. Kuepper, M. Coldea, L. Barbu-Tudoran, V. Pop, D. Benea
- article
- Journal of Magnetism and Magnetic Materials, 2021, 532, pp.167997. ⟨10.1016/j.jmmm.2021.167997⟩
- Accès au bibtex
-
- titre
- Thermal behavior of waterglass: foaming and xerogel-to-glass evolution
- auteur
- Hamza Mohsin, Sébastien Maron, Isabelle Maurin, Ekaterina Burov, Grégory Tricot, Lucie Devys, Emmanuelle Gouillart, Thierry Gacoin
- article
- Journal of Non-Crystalline Solids, 2021, 566, pp.120872. ⟨10.1016/j.jnoncrysol.2021.120872⟩
- Accès au bibtex
-
- titre
- Evidence of Piezoelectric Potential and Screening Effect in Single Highly Doped ZnO:Ga and ZnO:Al Nanowires by Advanced Scanning Probe Microscopy
- auteur
- Oleksandr Synhaivskyi, David Albertini, Pierre Gaffuri, Jean-Michel Chauveau, Vincent Consonni, Brice Gautier, Georges Bremond
- article
- Journal of Physical Chemistry C, 2021, 125 (28), pp.15373-15383. ⟨10.1021/acs.jpcc.1c00926⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrasensitive nano-optomechanical force sensor operated at dilution temperatures
- auteur
- Francesco Fogliano, Benjamin Besga, Antoine Reigue, Laure Mercier de Lépinay, Philip Heringlake, Clément Gouriou, Eric Eyraud, Wolfgang Wernsdorfer, Benjamin Pigeau, Olivier Arcizet
- article
- Nature Communications, 2021, 12, pp.4124. ⟨10.1038/s41467-021-24318-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterizing and Optimizing Piezoelectric Response of ZnO Nanowire/PMMA Composite-Based Sensor
- auteur
- Xiaoting Zhang, Jose Villafuerte, Vincent Consonni, Jean-Fabien Capsal, Pierre-Jean Cottinet, Lionel Petit, Minh-Quyen Le
- article
- Nanomaterials, 2021, 11 (7), pp.1712. ⟨10.3390/nano11071712⟩
- Accès au texte intégral et bibtex
-
- titre
- Birefringence phase-matched direct third-harmonic generation in a ridge optical waveguide based on a KTiOPO4 single crystal
- auteur
- Augustin Vernay, Véronique Boutou, Corinne Félix, David Jegouso, Florent Bassignot, Mathieu Chauvet, Benoit Boulanger
- article
- Optics Express, 2021, 29 (14), pp.22266-22274. ⟨10.1364/oe.432636⟩
- Accès au texte intégral et bibtex
-
- titre
- Giant volume magnetostriction in La0.85Ce0.15Fe12B6
- auteur
- L. V. B. Diop, Mehdi Amara, O. Isnard
- article
- Applied Physics Letters, 2021, 118 (26), pp.262409. ⟨10.1063/5.0056511⟩
- Accès au texte intégral et bibtex
-
- titre
- Monitoring Spin-Crossover Properties by Diffused Reflectivity
- auteur
- Gelu-Marius Rotaru, Epiphane Codjovi, Pierre-Richard Dahoo, Isabelle Maurin, Jorge Linares, Aurelian Rotaru
- article
- Symmetry, 2021, 13 (7), pp.1148. ⟨10.3390/sym13071148⟩
- Accès au texte intégral et bibtex
-
- titre
- Silicon Nanowire Solar Cells with μc‐Si:H Absorbers for Radial Junction Devices
- auteur
- Letian Dai, Martin Foldyna, J Alvarez, Isabelle Maurin, Jean-Paul Kleider, Thierry Gacoin, Pere Roca I Cabarrocas
- article
- physica status solidi (a), 2021, 218 (17), pp.2100231. ⟨10.1002/pssa.202100231⟩
- Accès au texte intégral et bibtex
-
- titre
- High-pressure behavior of heteroepitaxial core-shell particles made of Prussian blue analogs
- auteur
- Isabelle Maurin, Miho Itoi, John Cain, Daniel Talham, Thierry Gacoin, Kamel Boukheddaden, Jean-Paul Itié
- article
- Journal of Applied Physics, 2021, 129 (23), pp.235106. ⟨10.1063/5.0049223⟩
- Accès au texte intégral et bibtex
-
- titre
- Nano-sheets of two-dimensional polymers with dinuclear (arene)ruthenium nodes, synthesised at a liquid/liquid interface
- auteur
- Ana Cristina Gomez-Herrero, Michel Feron, Nedjma Bendiab, M. den Hertog, Valérie Reita, Roland Salut, Frank Palmino, Johann Coraux, Frédéric Cherioux
- article
- Nanotechnology, 2021, 32 (35), pp.355603. ⟨10.1088/1361-6528/ac0472⟩
- Accès au texte intégral et bibtex
-
- titre
- A hydrothermal apparatus for x-ray absorption spectroscopy of hydrothermal fluids at DESY
- auteur
- S. Klemme, M. Feldhaus, V. Potapkin, M. Wilke, M. Borchert, M. Louvel, A. Loges, A. Rohrbach, P. Weitkamp, E. Welter, M. Kokh, C. Schmidt, Denis Testemale
- article
- Review of Scientific Instruments, 2021, 92 (6), pp.063903. ⟨10.1063/5.0044767⟩
- Accès au texte intégral et bibtex
-
- titre
- Light-hole states in a strained quantum dot: numerical calculation and phenomenological models
- auteur
- K. Moratis, Joel Cibert, D. Ferrand, Y. -M. Niquet
- article
- Physical Review B, 2021, 103 (24), pp.245304. ⟨10.1103/PhysRevB.103.245304⟩
- Accès au texte intégral et bibtex
-
- titre
- Bilocal Bell Inequalities Violated by the Quantum Elegant Joint Measurement
- auteur
- Armin Tavakoli, Nicolas Gisin, Cyril Branciard
- article
- Physical Review Letters, 2021, 126 (22), pp.220401. ⟨10.1103/PhysRevLett.126.220401⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimiser la consommation énergétique des calculateurs quantiques : un défi interdisciplinaire
- auteur
- Alexia Auffèves
- article
- Reflets de la Physique, 2021, 69, pp.16-20. ⟨10.1051/refdp/202169016⟩
- Accès au bibtex
-
- titre
- Potential substitutes for critical materials in white LEDs: Technological challenges and market opportunities
- auteur
- Pierre Gaffuri, Elena Stolyarova, Daniel Llerena, Estelle Appert, Marianne Consonni, Stéphane Robin, Vincent Consonni
- article
- Renewable and Sustainable Energy Reviews, 2021, 143, ⟨10.1016/j.rser.2021.110869⟩
- Accès au texte intégral et bibtex
-
- titre
- Long-range spin jump diffusion revealed by dynamic light scattering
- auteur
- S Cronenberger, H Boukari, D Ferrand, Joel Cibert, Denis Scalbert
- article
- Physical Review B, 2021, 103 (20), pp.205208. ⟨10.1103/PhysRevB.103.205208⟩
- Accès au texte intégral et bibtex
-
- titre
- The search for manganese incorporation in MoSe 2 monolayer epitaxially grown on graphene
- auteur
- Maxime Gay, Minh-Tuan Dau, Céline Vergnaud, Alain Marty, Frédéric Bonell, Hervé Boukari, Colin Paillet, Bérangère Hyot, Hanako Okuno, Pierre Mallet, Jean-Yves Veuillen, Olivier Renault, Matthieu Jamet
- article
- Comptes Rendus. Physique, 2021, 22 (4), pp.1-17. ⟨10.5802/crphys.69⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetostriction and extraordinary exchange spring and exchange bias effects in Ni48Mn39Sn13 Heusler alloy
- auteur
- J. Kaštil, J. Kamarád, M. Míšek, O. Isnard, Mehdi Amara, Z. Arnold
- article
- Intermetallics, 2021, 132, pp.107137. ⟨10.1016/j.intermet.2021.107137⟩
- Accès au bibtex
-
- titre
- Lattice-shifted nematic quantum critical point in FeSe1−xSx
- auteur
- S. Chibani, D. Farina, P. Massat, M. Cazayous, A. Sacuto, T. Urata, Y. Tanabe, K. Tanigaki, A. E. Böhmer, P. C. Canfield, M. Merz, S. Karlsson, P. Strobel, Pierre Toulemonde, I. Paul, Y. Gallais
- article
- Npj Quantum Materials, 2021, 6 (1), pp.37. ⟨10.1038/s41535-021-00336-3⟩
- Accès au bibtex
-
- titre
- Mapping the Cavity Optomechanical Interaction with Subwavelength-Sized Ultrasensitive Nanomechanical Force Sensors
- auteur
- Francesco Fogliano, Benjamin Besga, Antoine Reigue, Philip Heringlake, Laure Mercier de Lépinay, Cyril Vaneph, Jakob Reichel, Benjamin Pigeau, Olivier Arcizet
- article
- Physical Review X, 2021, 11 (2), pp.021009. ⟨10.1103/physrevx.11.021009⟩
- Accès au texte intégral et bibtex
-
- titre
- Stable Cr-MFI Catalysts for the Nonoxidative Dehydrogenation of Ethane: Catalytic Performance and Nature of the Active Sites
- auteur
- Sudipta De, Samy Ould-Chikh, Antonio Aguilar, Jean-Louis F Hazemann, Andrea Zitolo, Adrian Ramirez, Selvedin Telalovic, Jorge Gascon
- article
- ACS Catalysis, 2021, 11 (7), pp.3988-3995. ⟨10.1021/acscatal.0c05170⟩
- Accès au bibtex
-
- titre
- Exciton-exciton interaction beyond the hydrogenic picture in a MoSe2 monolayer in the strong light-matter coupling regime
- auteur
- Petr Stepanov, Amit Vashisht, Martin Klaas, Nils Lundt, Sefaattin Tongay, Mark Blei, Sven Höfling, Thomas Volz, Anna Minguzzi, Julien Renard, Christian Schneider, Maxime Richard
- article
- Physical Review Letters, 2021, 126 (16), pp.167401. ⟨10.1103/PhysRevLett.126.167401⟩
- Accès au texte intégral et bibtex
-
- titre
- Galeaclolusite, [Al 6 (AsO 4 ) 3 (OH) 9 (H 2 O) 4 ]⋅8H 2 O, a new bulachite-related mineral from Cap Garonne, France
- auteur
- Ian Grey, George Favreau, Stuart Mills, W. Gus Mumme, C. Bougerol, Helen E.A. Brand, Anthony Kampf, Colin Macrae, Finlay Shanks
- article
- Mineralogical Magazine, 2021, 85 (2), pp.142-148. ⟨10.1180/mgm.2020.98⟩
- Accès au bibtex
-
- titre
- Quantum indeterminacy
- auteur
- Claudio Calosi, Cristian Mariani
- article
- Philosophy Compass, 2021, 16 (4), pp.e12731. ⟨10.1111/phc3.12731⟩
- Accès au bibtex
-
- titre
- Low-field magnetocaloric effect of NdFe11Ti and SmFe10V2 compounds
- auteur
- W. Bouzidi, T. Bartoli, R. Sedek, A. Bouzidi, J. Moscovici, Lotfi Bessais
- article
- Journal of Materials Science: Materials in Electronics, 2021, 32 (8), pp.10579-10586. ⟨10.1007/s10854-021-05713-z⟩
- Accès au bibtex
-
- titre
- Influence of the Growth Substrate on the Internal Quantum Efficiency of Algan/Aln Multiple Quantum Wells Governed by Carrier Localization
- auteur
- Gwenolé Jacopin, Christian Frankerl, Nadine Tillner, Matthew John Davies, Georg Rossbach, Christian Brandl, Marc Patrick Hoffmann, Roland Zeisel, Axel Hoffmann, Hans-Jürgen Lugauer
- article
- physica status solidi (b), 2021, 258 (4), pp.2000464. ⟨10.1002/pssb.202000464⟩
- Accès au texte intégral et bibtex
-
- titre
- Parity-preserving and magnetic field–resilient superconductivity in InSb nanowires with Sn shells
- auteur
- M. Pendharkar, B. Zhang, H. Wu, A. Zarassi, P. Zhang, C. P. Dempsey, J. S. Lee, S. D. Harrington, G. Badawy, S. Gazibegovic, Julien Jung, A.-H. Chen, M. A. Verheijen, Moïra Hocevar, E. P. A. M. Bakkers, C. J. Palmstrøm, S. M. Frolov
- article
- Science, 2021, 372 (6541), pp.508-511. ⟨10.1126/science.aba5211⟩
- Accès au bibtex
-
- titre
- Nanostructured silica-supported gold: Effect of nanoparticle size distribution and electronic state on its catalytic properties in oxidation reactions
- auteur
- Y. Kotolevich, O. Martynyuk, J.C. García Ramos, J.E. Cabrera Ortega, R. Vélez, V. Maturano Rojas, A. Aguilar Tapia, S. Martinez-Gonzalez, H.J. Tiznado Vazquez, M. Farías, R. Zanella, A. Pestryakov, N. Bogdanchikova, V. Cortés Corberán
- article
- Catalysis Today, 2021, 366, pp.77-86. ⟨10.1016/j.cattod.2020.08.028⟩
- Accès au bibtex
-
- titre
- Crystal, electronic and magnetic structures of a novel series of intergrowth carbometalates R4Co2C3 (R = Y, Gd, Tb)
- auteur
- Volodymyr Levytskyi, Olivier Isnard, Reinhard K. Kremer, Volodymyr Babizhetskyy, Bruno Fontaine, Xavier Rocquefelte, Jean-François Halet, Roman Gumeniuk
- article
- Dalton Transactions, 2021, 50 (12), pp.4202-4209. ⟨10.1039/d1dt00420d⟩
- Accès au texte intégral et bibtex
-
- titre
- A short story of quantum and information thermodynamics
- auteur
- Alexia Auffèves
- article
- SciPost Physics Lecture Notes, 2021, pp.27. ⟨10.21468/SciPostPhysLectNotes.27⟩
- Accès au bibtex
-
- titre
- Recent progress in deep-depletion diamond metal–oxide–semiconductor field-effect transistors
- auteur
- Cédric Masante, Nicolas C. Rouger, Julien Pernot
- article
- Journal of Physics D: Applied Physics, 2021, 54 (23), pp.233002. ⟨10.1088/1361-6463/abe8fe⟩
- Accès au texte intégral et bibtex
-
- titre
- Micro-mechanical assembly and characterization of high-quality Fabry–Pérot microcavities for the integration of two-dimensional materials
- auteur
- Christoph Rupprecht, Nils Lundt, Matthias Wurdack, Petr Stepanov, Eliezer Estrecho, Maxime Richard, Elena Ostrovskaya, Sven Höfling, Christian Schneider
- article
- Applied Physics Letters, 2021, 118 (10), pp.103103. ⟨10.1063/5.0034851⟩
- Accès au texte intégral et bibtex
-
- titre
- Raman spectra of vanadates MV2O6 (M= Mn, Co, Ni, Zn) crystallized in the non-usual columbite-type structure
- auteur
- J.P. P Pena, Pierre Bouvier, M. Hneda, C. Goujon, O. Isnard
- article
- Journal of Physics and Chemistry of Solids, 2021, 154, pp.110034. ⟨10.1016/j.jpcs.2021.110034⟩
- Accès au texte intégral et bibtex
-
- titre
- Des « brocarts appliqués » dans la sculpture savoyarde
- auteur
- Florence Lelong, Emeline Pouyet, Sophie Champdavoine, Thomas Guiblain, Pauline Martinetto, Philippe Walter, Hélène Rousselière, Marine Cotte
- article
- CeROArt, 2021, Hors-Série, ⟨10.4000/ceroart.7802⟩
- Accès au bibtex
-
- titre
- Entangled and correlated photon mixed strategy for social decision making
- auteur
- Shion Maeda, Nicolas Chauvet, Hayato Saigo, Hirokazu Hori, Guillaume Bachelier, Serge Huant, Makoto Naruse
- article
- Scientific Reports, 2021, 11, pp.4832. ⟨10.1038/s41598-021-84199-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamics of heterogeneous wetting in periodic hybrid nanopores
- auteur
- Cyril Picard, Valentin Gérard, Loïc Michel, X. Cattoen, Elisabeth Charlaix
- article
- Journal of Chemical Physics, 2021, 154 (16), pp.164710. ⟨10.1063/5.0044391⟩
- Accès au texte intégral et bibtex
-
- titre
- Two-Qubit Engine Fueled by Entanglement and Local Measurements
- auteur
- Léa Bresque, Patrice Camati, Spencer Rogers, Kater Murch, Andrew Jordan, Alexia Auffèves
- article
- Physical Review Letters, 2021, 126 (12), pp.120605. ⟨10.1103/PhysRevLett.126.120605⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen concentration dependence of phase transformation and microstructure modification in metastable titanium alloy β-21S
- auteur
- Jing Wen, Eric Fleury, Fei Cao, Nathalie Allain
- article
- Journal of Materials Science, 2021, 56 (8), pp.5161-5172. ⟨10.1007/s10853-020-05568-5⟩
- Accès au bibtex
-
- titre
- Inducing micromechanical motion by optical excitation of a single quantum dot
- auteur
- J Kettler, N Vaish, L Mercier de Lépinay, B Besga, P L de Assis, O Bourgeois, A Auffèves, Maxime Richard, J Claudon, J M Gérard, Benjamin Pigeau, O Arcizet, P Verlot, J P Poizat
- article
- Nature Nanotechnology, 2021, 16, pp.283-287. ⟨10.1038/s41565-020-00814-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Gate‐Tunable Negative Differential Resistance in Next‐Generation Ge Nanodevices and their Performance Metrics
- auteur
- Raphael Böckle, Masiar Sistani, Kilian Eysin, Maximilian G A Bartmann, Minh Anh Luong, M. den Hertog, Alois Lugstein, Walter M Weber
- article
- Advanced Electronic Materials, 2021, 7 (3), pp.2001178. ⟨10.1002/aelm.202001178⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of AlGaN/GaN heterostructures for e-beam pumped UV lasers
- auteur
- Sergi Cuesta Arcos, Quang Minh Thai, Yoann Curé, Fabrice Donatini, Edith Bellet-Amalric, Catherine Bougerol, Gilles Nogues, Stephen Purcell, Le Si Dang, Eva Monroy
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2021, Gallium Nitride Materials and Devices XVI, 11686, pp.116860S. ⟨10.1117/12.2578370⟩
- Accès au bibtex
-
- titre
- The role of surface diffusion in the growth mechanism of III-nitride nanowires and nanotubes
- auteur
- Bruno Daudin, Alexandra-Madalina Siladie, Marion Gruart, M. den Hertog, Catherine Bougerol, Benedikt Haas, Jean-Luc Rouvière, Eric Robin, Maria-José Recio-Carretero, Núria Garro, Ana Cros
- article
- Nanotechnology, 2021, 32 (8), pp.085606. ⟨10.1088/1361-6528/abc780⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of local fields on the dynamics of four-wave mixing signals from 2D semiconductor systems
- auteur
- Thilo Hahn, Jacek Kasprzak, Paweł Machnikowski, Tilmann Kuhn, Daniel Wigger
- article
- New Journal of Physics, 2021, 23 (2), pp.023036. ⟨10.1088/1367-2630/abdd6c⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of micro-structural features on the colour of nanocrystallised powders of hematite and visible-NIR reflectance spectra simulations
- auteur
- Morgane Gerardin, Nicolas Holzschuch, Alain Ibanez, Bernard Schmitt, Pauline Martinetto
- article
- Journal of the international colour association, 2021, 26, pp.41-48
- Accès au texte intégral et bibtex
-
- titre
- Luminescent Yb3+,Er3+-Doped α-La(IO3)3 Nanocrystals for Neuronal Network Bio-Imaging and Nanothermometry
- auteur
- Geraldine Dantelle, Valérie Reita, Cécile Delacour
- article
- Nanomaterials, 2021, 11 (2), pp.479. ⟨10.3390/nano11020479⟩
- Accès au texte intégral et bibtex
-
- titre
- Computational Advantage from the Quantum Superposition of Multiple Temporal Orders of Photonic Gates
- auteur
- Márcio M. Taddei, Jaime Cariñe, Daniel Martínez, Tania García, Nayda Guerrero, Alastair Abbott, Mateus Araújo, Cyril Branciard, Esteban S Gómez, Stephen P Walborn, Leandro Aolita, Gustavo Lima
- article
- PRX Quantum, 2021, 2 (1), pp.1-16. ⟨10.1103/PRXQuantum.2.010320⟩
- Accès au texte intégral et bibtex
-
- titre
- Improvement of critical temperature of niobium nitride deposited on 8-inch silicon wafers thanks to an AlN buffer layer
- auteur
- Raouia Rhazi, Houssaine Machhadani, Catherine Bougerol, Stéphane Lequien, Eric Robin, Guillaume Rodriguez, Richard Souil, Jean-Luc Thomassin, Nicolas Mollard, Yohan Désières, Eva Monroy, Segolene Olivier, Jean-Michel Gérard
- article
- Superconductor Science and Technology, 2021, 34 (4), pp.045002. ⟨10.1088/1361-6668/abe35e⟩
- Accès au bibtex
-
- titre
- Dual‐color emission from monolithic m‐plane core‐shell InGaN/GaN quantum wells
- auteur
- Akanksha Kapoor, Vincent Grenier, Eric Robin, Catherine Bougerol, Gwenolé Jacopin, Bruno Gayral, Maria Tchernycheva, J. Eymery, Christophe Durand
- article
- Advanced Photonics Research, 2021, 2, pp.2000148. ⟨10.1002/adpr.202000148⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum transport in flat bands and super-metallicity
- auteur
- G. Bouzerar, D. Mayou
- article
- Physical Review B, 2021, 103 (7), pp.075415. ⟨10.1103/PhysRevB.103.075415⟩
- Accès au texte intégral et bibtex
-
- titre
- A tunable Fabry-Pérot quantum Hall interferometer in graphene
- auteur
- Corentin Déprez, Louis Veyrat, Hadrien Vignaud, Goutham Nayak, Kenji Watanabe, Takashi Taniguchi, Frédéric Gay, Hermann Sellier, Benjamin Sacépé
- article
- Nature Nanotechnology, 2021, 16, pp.555-562. ⟨10.1038/s41565-021-00847-x⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of the itinerant metamagnetic system Hf0.75Ta0.25Fe2 under extreme conditions of pressure or magnetic field
- auteur
- L. V. B. Diop, O. Prokhnenko, O. Isnard, Géraldine Ballon, J.P. Itié
- article
- Intermetallics, 2021, 129, pp.107054. ⟨10.1016/j.intermet.2020.107054⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of heat treatment, near the temperature region of Fe α-γ transformation, on the interphase exchange coupling of Nd2Fe14B + Fe nanocomposites
- auteur
- R. Hirian, R. Dudric, O. Isnard, L. Barbu-Tudoran, V. Pop
- article
- Journal of Magnetism and Magnetic Materials, 2021, 520, pp.166960. ⟨10.1016/j.jmmm.2020.166960⟩
- Accès au bibtex
-
- titre
- Densification mechanisms of UO2 consolidated by spark plasma sintering
- auteur
- Luca Balice, Marco Cologna, Fabienne Audubert, Jean-Louis F Hazemann
- article
- Journal of the European Ceramic Society, 2021, 41 (1), pp.719 - 728. ⟨10.1016/j.jeurceramsoc.2020.07.002⟩
- Accès au texte intégral et bibtex
-
- titre
- Solubility limit of Ge dopants in AlGaN: A chemical and microstructural investigation down to the nanoscale
- auteur
- Catherine Bougerol, Eric Robin, Enrico Di Russo, Edith Bellet-Amalric, Vincent Grenier, Akhil Ajay, Lorenzo Rigutti, Eva Monroy
- article
- ACS Applied Materials & Interfaces, 2021, 13 (3), pp.4165-4173. ⟨10.1021/acsami.0c19174⟩
- Accès au texte intégral et bibtex
-
- titre
- Comprehensive model toward optimization of SAG In-rich InGaN nanorods by hydride vapor phase epitaxy
- auteur
- Hadi Hijazi, Mohammed Zeghouane, Jihen Jridi, Evelyne Gil, Dominique Castelluci, Vladimir Dubrovskii, Catherine Bougerol, Yamina André, Agnès Trassoudaine
- article
- Nanotechnology, 2021, 32 (15), pp.155601. ⟨10.1088/1361-6528/abdb16⟩
- Accès au texte intégral et bibtex
-
- titre
- Long catalyst-free InAs nanowires grown on silicon by HVPE
- auteur
- Gabin Grégoire, Evelyne Gil, Mohammed Zeghouane, Catherine Bougerol, Hadi Hijazi, Dominique Castelluci, Vladimir Dubrovskii, Agnès Trassoudaine, Nebile Isik Goktas, Ray Lapierre, Yamina André
- article
- CrystEngComm, 2021, 23 (2), pp.378-384. ⟨10.1039/d0ce01385d⟩
- Accès au texte intégral et bibtex
-
- titre
- Selectively boron doped homoepitaxial diamond growth for power device applications
- auteur
- F. Lloret, D. Eon, Etienne Bustarret, F. Donatini, D. Araujo
- article
- Applied Physics Letters, 2021, 118 (2), pp.023504. ⟨10.1063/5.0031478⟩
- Accès au texte intégral et bibtex
-
- titre
- Growth of zinc-blende GaN on muscovite mica by molecular beam epitaxy
- auteur
- Bruno Daudin, Fabrice Donatini, Catherine Bougerol, Bruno Gayral, Edith Bellet-Amalric, Rémy Vermeersch, Nathaniel Feldberg, Jean-Luc Rouviere, Maria José Recio Carretero, Núria Garro, Saül Garcia-Orrit, Ana Cros
- article
- Nanotechnology, 2021, 32 (2), pp.025601. ⟨10.1088/1361-6528/abb6a5⟩
- Accès au texte intégral et bibtex
-
- titre
- Preferential Co and Fe atom occupancy in R2(Fe1-xCo )14B intermetallic compounds (R = Nd, Y and Ce)
- auteur
- Gabriel Gomez Eslava, Masaaki Ito, Claire Colin, Masao Yano, Tetsuya Shoji, Akira Kato, Emmanuelle Suard, Nora Dempsey, Dominique Givord
- article
- Journal of Alloys and Compounds, 2021, 851, pp.156168. ⟨10.1016/j.jallcom.2020.156168⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental Quantum Communication Enhancement by Superposing Trajectories
- auteur
- Giulia Rubino, Lee A. Rozema, Daniel Ebler, Hlér Kristjánsson, Sina Salek, Philippe Allard Guérin, Alastair A. Abbott, Cyril Branciard, Časlav Brukner, Giulio Chiribella, Philip Walther
- article
- Physical Review Research, 2021, 3 (1), pp.013093. ⟨10.1103/PhysRevResearch.3.013093⟩
- Accès au texte intégral et bibtex
-
- titre
- Diamond/γ-alumina band offset determination by XPS
- auteur
- J. Cañas, G. Alba, D. Leinen, F. Lloret, M. Gutierrez, D. Eon, Julien Pernot, E. Gheeraert, D. Araujo
- article
- Applied Surface Science, 2021, 535, pp.146301. ⟨10.1016/j.apsusc.2020.146301⟩
- Accès au texte intégral et bibtex
-
- titre
- Twenty-three millisecond electron spin coherence of erbium ions in a natural-abundance crystal
- auteur
- Marianne Le Dantec, Miloš Rančić, Sen Lin, Eric Billaud, Vishal Ranjan, Daniel Flanigan, Sylvain Bertaina, Thierry Chanelière, Philippe Goldner, Andreas Erb, Ren Bao Liu, Daniel Estève, Denis Vion, Emmanuel Flurin, Patrice Bertet
- article
- Science Advances , 2021, 7 (51), pp.abj9786. ⟨10.1126/sciadv.abj9786⟩
- Accès au texte intégral et bibtex
-
- titre
- Direct Bi 3+ -Bi 3+ contacts mediated by lonepairs in the HP-BiNiO(PO4) polymorph
- auteur
- Marie Colmont, Anastasiya Zadoya, Céline Darie, Cyril Domingos, Bastien Leclercq, Olivier Mentré
- article
- CrystEngComm, 2021, 23 (29), pp.5124-5130. ⟨10.1039/d1ce00802a⟩
- Accès au texte intégral et bibtex
-
- titre
- The operational framework for quantum theories is both epistemologically and ontologically neutral
- auteur
- Laurie Letertre
- article
- Studies in History and Philosophy of Science Part A, 2021, 89, pp.129-137. ⟨10.1016/j.shpsa.2021.08.004⟩
- Accès au texte intégral et bibtex
-
- titre
- Plural metaphysical supervaluationism
- auteur
- Cristian Mariani, Robert Michels, Giuliano Torrengo
- article
- Inquiry, 2021, ⟨10.1080/0020174X.2021.1982404⟩
- Accès au bibtex
-
- titre
- Study of ion-implanted nitrogen related defects in diamond Schottky barrier diode by transient photocapacitance and photoluminescence spectroscopy
- auteur
- Junjie Guo, Aboulaye Traore, Masahiko Ogura, Muhammad Hafiz Bin Abu Bakar, Satoshi Yamasaki, Etienne Gheeraert, Toshiharu Makino, Takeaki Sakurai
- article
- Japanese Journal of Applied Physics, 2021, 60 (SB), pp.SBBD07. ⟨10.35848/1347-4065/abdf75⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis and properties of porous ester-silica nanoparticles
- auteur
- Hung Nguyen, Alain Ibanez, Mathieu Salaun, Stéphanie Kodjikian, Philippe Trens, X. Cattoen
- article
- Microporous and Mesoporous Materials, 2021, 317, pp.110991. ⟨10.1016/j.micromeso.2021.110991⟩
- Accès au texte intégral et bibtex
-
- titre
- How 10 at% Al Addition in the Ti-V-Zr-Nb High-Entropy Alloy Changes Hydrogen Sorption Properties
- auteur
- Jorge Montero, Gustav Ek, Laetitia Laversenne, Vivian Nassif, Martin Sahlberg, Claudia Zlotea
- article
- Molecules, 2021, 26 (9), pp.2470. ⟨10.3390/molecules26092470⟩
- Accès au texte intégral et bibtex
-
- titre
- An arsenic-driven pump for invisible gold in hydrothermal systems
- auteur
- G. S. Pokrovski, C. Escoda, M. Blanchard, Denis Testemale, Jean-Louis F Hazemann, S. Gouy, M. A. Kokh, M.-C. Boiron, F. de Parseval, T. Aigouy, L. Menjot, P. de Parseval, O. Proux, M. Rovezzi, D. Béziat, Stefano Salvi, K. Kouzmanov, T. Bartsch, R. Pöttgen, T. Doert
- article
- Geochemical Perspectives Letters, 2021, 17, pp.39-44. ⟨10.7185/geochemlet.2112⟩
- Accès au texte intégral et bibtex
-
- titre
- AlGaN/GaN asymmetric graded-index separate confinement heterostructures designed for electron-beam pumped UV lasers
- auteur
- Sergi Cuesta, Yoann Curé, Fabrice Donatini, Lou Denaix, Edith Bellet-Amalric, Catherine Bougerol, Vincent Grenier, Quang Minh Thai, Gilles Nogues, Stephen Purcell, Le Si Dang, Eva Monroy
- article
- Optics Express, 2021, 29 (9), pp.13084-13093. ⟨10.1364/OE.424027⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrawide bandgap semiconductors
- auteur
- Masataka Higashiwaki, Robert Kaplar, Julien Pernot, Hongping Zhao
- article
- Applied Physics Letters, 2021, 118 (20), pp.200401. ⟨10.1063/5.0055292⟩
- Accès au texte intégral et bibtex
-
- titre
- Non-invasive X-ray investigations of medieval sculptures: New insights on “applied tin-relief brocade” technique
- auteur
- Pauline Martinetto, Nils Blanc, Pierre Bordet, S. Champdavoine, F. Fabre, T. Guiblain, J.-L. Hodeau, F. Lelong, O. Leynaud, A. Prat, E. Pouyet, Emmanuelle Uher, Ph H Walter
- article
- Journal of Cultural Heritage, 2021, 47, pp.89-99. ⟨10.1016/j.culher.2020.10.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Age stability of La(Fe,Si)13 hydrides with giant magnetocaloric effects
- auteur
- He Zhou, Yi Long, Salvatore Miraglia, Florence Porcher, Hu Zhang
- article
- Rare Metals, 2021, 41, pp.992-1001. ⟨10.1007/s12598-021-01849-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Stability of charge ordering in La0.5−xHoxCa0.5MnO3 polycrystalline manganites
- auteur
- S. Dhieb, A. Krichene, F. Fettar, Nassira Boudjada, W. Boujelben
- article
- Applied physics. A, Materials science & processing, 2021, 127 (9), pp.700. ⟨10.1007/s00339-021-04848-4⟩
- Accès au texte intégral et bibtex
-
- titre
- Giant spontaneous resistivity jumps in La0.825Ce0.175Fe12B6
- auteur
- L. V. B. Diop, O. Isnard
- article
- Applied Physics Letters, 2021, 119 (3), pp.032403. ⟨10.1063/5.0059731⟩
- Accès au texte intégral et bibtex
-
- titre
- Plasmonic fork-shaped hologram for vortex-beam generation and separation
- auteur
- Airong Zhao, Aline Pham, Aurélien Drezet
- article
- Optics Letters, 2021, 46 (3), pp.689. ⟨10.1364/OL.411374⟩
- Accès au bibtex
-
- titre
- Tungsten (VI) speciation in hydrothermal solutions up to 400°C as revealed by in-situ spectroscopy
- auteur
- Eleonora Carocci, Laurent Truche, Michel Cathelineau, Marie-Camille Caumon, Elena F. Bazarkina
- article
- Geochimica et Cosmochimica Acta, 2021, 317, pp.306-324. ⟨10.1016/j.gca.2021.11.004⟩
- Accès au texte intégral et bibtex
-
- titre
- Colossal magneto-resistive relaxation effects in La0.9Ce0.1Fe12B6
- auteur
- L. V. B. Diop, O. Isnard
- article
- Journal of Applied Physics, 2021, 129 (24), pp.243902. ⟨10.1063/5.0055885⟩
- Accès au texte intégral et bibtex
-
- titre
- Making sense of Born's rule p α = Ψ α 2 with the many-worlds interpretation
- auteur
- Aurélien Drezet
- article
- Quantum Studies: Mathematics and Foundations, 2021, 8, pp.315-336. ⟨10.1007/s40509-021-00247-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Eu3+ optical activation engineering in Al Ga1-N nanowires for red solid-state nano-emitters
- auteur
- J. Cardoso, Gwenolé Jacopin, D.Nd. Faye, A.M. Siladie, B. Daudin, E. Alves, K. Lorenz, T. Monteiro, M.R. Correia, N. Ben Sedrine
- article
- Applied Materials Today, 2021, 22, pp.100893. ⟨10.1016/j.apmt.2020.100893⟩
- Accès au texte intégral et bibtex
-
- titre
- Evolution of Heterogeneity in Industrial Selective Oxidation Catalyst Pellets
- auteur
- Johannes Ihli, Leonid Bloch, Sebastian Boecklein, Przemyslaw Rzepka, Manfred Burghammer, Julio Cesar da Silva, Gerhard Mestl, Jeroen Anton van Bokhoven
- article
- ACS Catalysis, 2021, 11 (13), pp.8274 - 8283. ⟨10.1021/acscatal.1c01744⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen Sorption Properties of a Novel Refractory Ti-V-Zr-Nb-Mo High Entropy Alloy
- auteur
- Anis Bouzidi, Laetitia Laversenne, Guilherme Zepon, Gavin Vaughan, Vivian Nassif, Claudia Zlotea
- article
- Hydrogen, 2021, 2 (4), pp.399 - 413. ⟨10.3390/hydrogen2040022⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanoscale Dopant Profiling of Individual Semiconductor Wires by Capacitance–Voltage Measurement
- auteur
- Timothée Lassiaz, Pierre Tchoulfian, Fabrice Donatini, Julien Brochet, Romain Parize, Gwénolé Jacopin, Julien Pernot
- article
- Nano Letters, 2021, 21 (8), pp.3372-3378. ⟨10.1021/acs.nanolett.0c04491⟩
- Accès au texte intégral et bibtex
-
- titre
- Stimulus-induced strain in spin transition heterostructures
- auteur
- John Cain, Wanhong He, Isabelle Maurin, Mark Meisel, Daniel Talham
- article
- Journal of Applied Physics, 2021, 129 (16), pp.160903. ⟨10.1063/5.0045939⟩
- Accès au texte intégral et bibtex
-
- titre
- The guidance theorem of de Broglie
- auteur
- Aurélien Drezet
- article
- Annales de la Fondation Louis de Broglie, 2021, 46 (1), pp.65-86
- Accès au texte intégral et bibtex
-
- titre
- Investigation of sidewall damage induced by reactive ion etching on AlGaInP MESA for micro-LED application
- auteur
- Younes Boussadi, Nevine Rochat, Jean-Paul Barnes, Badhise Ben Bakir, Philippe Ferrandis, Bruno Masenelli, Christophe Licitra
- article
- Journal of Luminescence, 2021, 234, pp.117937. ⟨10.1016/j.jlumin.2021.117937⟩
- Accès au texte intégral et bibtex
-
- titre
- Shallow donor and DX state in Si doped AlN nanowires grown by molecular beam epitaxy
- auteur
- Rémy Vermeersch, Eric Robin, Ana Cros, Gwenolé Jacopin, Bruno Daudin, Julien Pernot
- article
- Applied Physics Letters, 2021, 119 (26), pp.262105. ⟨10.1063/5.0074454⟩
- Accès au texte intégral et bibtex
-
- titre
- Trapping of rare earth-doped nanorods using quasi Bessel beam optical fiber tweezers
- auteur
- R. A Minz, U. Tiwari, A. Kumar, S. Nic Chormaic, K. Lahlil, T. Gacoin, S. K Mondal, J. Fick
- article
- OSA Continuum, 2021, 4 (2), pp.364-373. ⟨10.1364/OSAC.417151⟩
- Accès au texte intégral et bibtex
-
- titre
- Hole-Cr+ nanomagnet in a semiconductor quantum dot
- auteur
- V. Tiwari, M. Arino, S. Gupta, M. Morita, T. Inoue, D. Caliste, P. Pochet, H. Boukari, S. Kuroda, L. Besombes
- article
- Physical Review B, 2021, 104 (4), pp.L041301. ⟨10.1103/PhysRevB.104.L041301⟩
- Accès au texte intégral et bibtex
-
- titre
- The trisulfur radical ion S 3 •− controls platinum transport by hydrothermal fluids
- auteur
- Gleb S Pokrovski, Maria A Kokh, Elsa Desmaele, Clément Laskar, Elena F Bazarkina, Anastassia y Borisova, Denis Testemale, Jean-Louis F Hazemann, Rodolphe Vuilleumier, Guillaume Ferlat, Antonino Marco Saitta
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2021, 118 (34), pp.e2109768118. ⟨10.1073/pnas.2109768118⟩
- Accès au texte intégral et bibtex
-
- titre
- Applying multivariate analysis to X-ray diffraction computed tomography: the study of medieval applied brocades
- auteur
- Pierre Bordet, Florian Kergourlay, Ariane Pinto, Nils Blanc, Pauline Martinetto
- article
- Journal of Analytical Atomic Spectrometry, 2021, 36 (8), pp.1724-1734. ⟨10.1039/d1ja00143d⟩
- Accès au texte intégral et bibtex
-
- titre
- Normally-OFF Diamond Reverse Blocking MESFET
- auteur
- J. Canas, A. C. Pakpour-Tabrizi, T. Trajkovic, F. Udrea, D. Eon, E. Gheeraert, R. Jackman
- article
- IEEE Transactions on Electron Devices, 2021, 68 (12), pp.6279-6285. ⟨10.1109/TED.2021.3117237⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase diagram and transition temperatures in the system (T-T’) La2-xNdxCuO4 (x ≤ 0.5)
- auteur
- Mohamed Ikbal Houchati, Adnene Midouni, Mondher Yahya, Nassira Chniba-Boudjada, Jean-François Bardeau, Ahmed Hichem Hamzaoui
- article
- Inorganic Chemistry Communications, 2021, 132, pp.108845. ⟨10.1016/j.inoche.2021.108845⟩
- Accès au texte intégral et bibtex
-
- titre
- Quasi-one-dimensional magnetic properties of NiNb2−xVxO6 compounds synthesized at high pressure in a nonstandard columbite-type structure
- auteur
- J Peña, M A Gusmão, O Isnard
- article
- Physical Review B, 2021, 103 (9), pp.094409. ⟨10.1103/physrevb.103.094409⟩
- Accès au texte intégral et bibtex
-
- titre
- Unlocking mixed oxides with unprecedented stoichiometries from heterometallic metalorganic frameworks for the catalytic hydrogenation of CO 2
- auteur
- Javier Castells-Gil, Samy Ould-Chikh, Adrian Ramírez, Rafia Ahmad, Gonzalo Prieto, Alberto Rodriguez Gómez, Luis Garzon-Tovar, Selvedin Telalovic, Lingmei Liu, Alessandro Genovese, Natalia M Padial, Antonio Aguilar-Tapia, Pierre Bordet, Luigi Cavallo, Carlos Martí-Gastaldo, Jorge Gascon
- article
- Chem Catalysis, 2021, 1 (2), pp.364-382. ⟨10.1016/j.checat.2021.03.010⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic-field-induced avalanches in magnetization and magnetoresistance of La0.9Ce0.1Fe12B6 compound
- auteur
- L. V. B. Diop, O. Isnard
- article
- The European Physical Journal Plus, 2021, 136 (8), pp.840. ⟨10.1140/epjp/s13360-021-01842-w⟩
- Accès au texte intégral et bibtex
-
- titre
- Surface recombinations in III-nitride micro-LEDs probed by photon-correlation cathodoluminescence
- auteur
- Sylvain Finot, Corentin Le Maoult, Etienne Gheeraert, David Vaufrey, Gwenolé Jacopin
- article
- ACS photonics, 2021, 9 (1), pp.173-178. ⟨10.1021/acsphotonics.1c01339⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum Fisher information from randomized measurements
- auteur
- Aniket Rath, Cyril Branciard, Anna Minguzzi, Benoît Vermersch
- article
- Physical Review Letters, 2021, 127 (26), pp.260501. ⟨10.1103/PhysRevLett.127.260501⟩
- Accès au texte intégral et bibtex
-
- titre
- Physical properties of YB66 and consideration of possible use for high-resolution X-ray optics
- auteur
- D Bessas, H Fukui, K Sugimoto, K Glazyrin, I Sergueev, G Levchenko, A Dukhnenko, V Filipov, O Isnard, D Ishikawa, H Yoshikawa, O Sakata, A Q R Baron
- article
- Journal of Applied Physics, 2021, 130 (2), pp.025105. ⟨10.1063/5.0054482⟩
- Accès au texte intégral et bibtex
-
- titre
- Toward Quantitative Measurements of Piezoelectricity in III-N Semiconductor Nanowires
- auteur
- L. Jaloustre, S. Le Denmat, T. Auzelle, M. Azadmand, L. Geelharr, F. Dahlem, Rudeesun Songmuang
- article
- ACS Applied Nano Materials, 2021, 4 (1), pp.43-52. ⟨10.1021/acsanm.0c02078⟩
- Accès au bibtex
-
- titre
- Linear and nonlinear optical properties of the piezoelectric crystal α-GeO 2
- auteur
- Théodore Remark, P. Segonds, Alexandra Pena Revellez, Bertrand Menaert, Jérôme Debray, David Jegouso, Maria Cinta Pujol, Benoît Boulanger
- article
- Optical Materials Express, 2021, 11 (10), pp.3520. ⟨10.1364/OME.439099⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure of the amorphous titania precursor phase of N-doped photocatalysts
- auteur
- I. E. Grey, Pierre Bordet, N C Wilson
- article
- RSC Advances, 2021, 11 (15), pp.8619-8627. ⟨10.1039/d0ra08886b⟩
- Accès au texte intégral et bibtex
-
- titre
- Revealing the Nature of Black Pigments Used on Ancient Egyptian Papyri from Champollion Collection
- auteur
- Pierre-Olivier Autran, Catherine Dejoie, Pierre Bordet, Jean-Louis Hodeau, Caroline Dugand, Maeva Gervason, Michel Anne, Pauline Martinetto
- article
- Analytical Chemistry, 2021, 93 (2), pp.1135-1142. ⟨10.1021/acs.analchem.0c04178⟩
- Accès au texte intégral et bibtex
-
- titre
- Engineering nitrogen-and hydrogen-related defects in ZnO nanowires using thermal annealing
- auteur
- José Villafuerte, Odette Chaix-Pluchery, Joseph Kioseoglou, Fabrice Donatini, Eirini Sarigiannidou, Julien Pernot, Vincent Consonni
- article
- Physical Review Materials, 2021, 5 (5), pp.056001. ⟨10.1103/PhysRevMaterials.5.056001⟩
- Accès au texte intégral et bibtex
-
- titre
- Na2VO(HPO4)2: an original phase solved by continuous 3D electron diffraction and powder X-ray diffraction
- auteur
- Christophe Lepoittevin, O Leynaud, A Neveu, T Barbier, M Gnanavel, V Gopal, Valérie Pralong
- article
- Dalton Transactions, 2021, 50 (28), pp.9725 - 9734. ⟨10.1039/d1dt01548f⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermally propagated Al contacts on SiGe nanowires characterized by electron beam induced current in a scanning transmission electron microscope
- auteur
- Aidan Conlan, Minh Anh Luong, Pascal Gentile, Grigore Moldovan, Martien den Hertog, Eva Monroy, David Cooper
- article
- Nanotechnology, 2021, 33 (3), pp.035712. ⟨10.1088/1361-6528/ac2e73⟩
- Accès au texte intégral et bibtex
-
- titre
- Molecular Origin of the Asymmetric Photoluminescence Spectra of CsPbBr 3 at Low Temperature
- auteur
- Ariadni Boziki, M. Ibrahim Dar, Gwénolé Jacopin, Michael Grätzel, Ursula Rothlisberger
- article
- Journal of Physical Chemistry Letters, 2021, 12 (10), pp.2699-2704. ⟨10.1021/acs.jpclett.1c00263⟩
- Accès au texte intégral et bibtex
-
Communication dans un congrès
- titre
- Distribution of Ge dopants in AlGaN: a chemical and structural investigation down to the nanoscale
- auteur
- C. Bougerol, Eric Robin, Enrico Di Russo, Edith Bellet-Amalric, Vincent Grenier, Akhil Ajay, Lorenzo Rigutti, Eva Monroy
- article
- 2021 MRS Fall Meeting, Materials Research Society, Nov 2021, Boston, United States
- Accès au bibtex
-
- titre
- Caractérisation de la microstructure des matériaux minéralogiques hétérogènes à la nano-échèlle par imagerie ptychographique aux rayons-X cohérents
- auteur
- Julio Cesar da Silva, Nils Blanc, Olivier Proux, Isabelle Kieffer, Nathalie Boudet, Yves Joly, Jean-Louis F Hazemann
- article
- 27e édition de la Réunion des Sciences de la Terre, SGF, CNRS, Laboratoire de Géologie de Lyon ou l’étude de la Terre, des planètes et de l’environnement, Nov 2021, Lyon, France
- Accès au bibtex
-
- titre
- Third-Harmonic Generation at 1594 nm in a KTP Ridge Optical Waveguide
- auteur
- Augustin Vernay, Véronique Boutou, Corinne Félix, David Jegouso, Jérôme Debray, Sébastien Pairis, Florent Bassignot, Mathieu Chauvet, Benoit Boulanger
- article
- Proceedings Advanced Solid State Physics 2021, Oct 2021, Toronto, Canada
- Accès au texte intégral et bibtex
-
- titre
- Ridge optical waveguide curved in a KTiOPO4 single crystal for triple photon generation: preliminary characterization by birefringence phase-matched third-harmonic generation
- auteur
- Augustin Vernay, Véronique Boutou, Corinne Felix, Florent Bassignot, Mathieu Chauvet, Benoît Boulanger
- article
- The European Optical Society Annual Meeting, EOSAM, Sep 2021, Rome, Italy
- Accès au texte intégral et bibtex
-
- titre
- Elaboration of epitaxial Rubidium Titanyl Phosphate (RTP) thin films by Pulsed Laser Deposition (PLD)
- auteur
- Mathieu Salaun, Benoît Boulanger
- article
- 10th International Conference on “Nanomaterials: Applications & Properties” (NAP-2021), Sep 2021, Odessa, Ukraine
- Accès au texte intégral et bibtex
-
- titre
- Diamond Inter-Digited JFET for Power Electronic Devices
- auteur
- Martin Kah, Cédric Masante, Salma El-Messaoudi, Julien Pernot, Nicolas C. Rouger
- article
- 31st International Conference on Diamond and Carbon Materials, Sep 2021, Virtual Meeting (tentative: Palma), Spain
- Accès au bibtex
-
- titre
- Dynamical wetting and drying in ultra-hydrophobic hybrid nanopores
- auteur
- Cyril Picard, Valentin Gérard, Loïc Michel, X. Cattoen, Elisabeth Charlaix
- article
- Journées du GDR Micro- Nano-fluidique, Sep 2021, Toulouse, France
- Accès au bibtex
-
- titre
- Organosilica nanoparticles for nanomedicine: colloidal stability and degradability
- auteur
- X. Cattoen, Shridevi Shenoi Perdoor, Fabien Dubois, Michel Wong Chi Man, Jean-Olivier Durand, Magali Gary-Bobo, Alain Ibanez
- article
- XIX Brazil MRS meeting, Aug 2021, Online, Brazil
- Accès au bibtex
-
- titre
- Coherent Control and Distinguishability of Quantum Channels via PBS-Diagrams
- auteur
- Cyril Branciard, Alexandre Clément, Mehdi Mhalla, Simon Perdrix
- article
- MFCS 2021 - 46th International Symposium on Mathematical Foundations of Computer Science, Aug 2021, Tallinn, Estonia. pp.22:1-22:20, ⟨10.4230/LIPIcs.MFCS.2021.22⟩
- Accès au bibtex
-
- titre
- Spectral X-ray ptychography for the investigation of technical catalysts
- auteur
- A. Kulow, Samy Ould-Chikh, M. Redhouane Boudjehem, Jean-Louis F Hazemann, T Shoinkhorova, Alla Dikhtiarenko, Mohamad Alabdullah, Jorge Gascon, Julio Cesar da Silva, R. Sougrat
- article
- IUCr General Assembly XXV, Aug 2021, Prague, Czech Republic
- Accès au texte intégral et bibtex
-
- titre
- Quantitative analysis of cementitious materials by X-ray ptychographic nanotomography
- auteur
- Shiva Shirani, Ana Cuesta, Angeles G de La Torre, Julio Cesar da Silva, Dmitry Karpov, Ana Diaz, Mirko Holler, Pavel Trtik, Miguel a G Aranda
- article
- IUCr General Assembly, Aug 2021, Prague, Czech Republic
- Accès au texte intégral et bibtex
-
- titre
- Wetting dynamics in ultra-hydrophobic nanopores down to angstrom scale
- auteur
- Cyril Picard, Valentin Gérard, Loïc Michel, X. Cattoen, Elisabeth Charlaix
- article
- International Congress of Theoretical and Applied Mechanics, Aug 2021, Milan, Italy
- Accès au bibtex
-
- titre
- Dynamics of heterogeneous wetting in superhydrophobic nanopores
- auteur
- Cyril Picard, Valentin Gérard, Loïc Michel, X. Cattoen, Elisabeth Charlaix
- article
- Journées de la Matière Condensée, Aug 2021, Rennes, France
- Accès au bibtex
-
- titre
- UltrastableLaser Based on Spectral Hole Burning in a Rare-Earth-Doped Crystal
- auteur
- Bess Fang, Nicolas Galland, Nemanja Lučić, Shuo Zhang, Rodolphe Le Targat, Héctor Álvarez Martínez, Alban Ferrier, Philippe Goldner, Signe Seidelin, Yann Le Coq
- article
- EFTF-IFCS 2021, Jul 2021, Paris (on line), France
- Accès au bibtex
-
- titre
- “Critical” trace elements in major minerals: old questions, new approaches and geochemical applications
- auteur
- Gleb Pokrovski, Marc Blanchard, Denis Testemale, Jean-Louis F Hazemann
- article
- Virtual Goldschmidt 2021 Conference, Jul 2021, Lyon (virtuel ), France
- Accès au bibtex
-
- titre
- Organosilica Nanoparticles for Nanomedicine
- auteur
- Shridevi Shenoi Perdoor, Achraf Noureddine, Michel Wong Chi Man, Jean-Olivier Durand, M. Gary-Bobo, Fabien Dubois, Alain Ibanez, X. Cattoen
- article
- 19th International Symposium on Silicon Chemistry - ISOS 2021, Jul 2021, Toulouse, France
- Accès au bibtex
-
- titre
- Guides d'onde en arête dans pour la génération de tierce harmonique
- auteur
- Augustin Vernay, Véronique Boutou, Corinne Felix, David Jegouso, Florent Bassignot, Mathieu Chauvet, Benoît Boulanger
- article
- Optique Dijon Congrès SFO, Jul 2021, Dijon, France
- Accès au bibtex
-
- titre
- The impact of sulfur on the transfer of platinum group elements by geological fluids
- auteur
- Clément Laskar, Maria Kokh, Elena Bazarkina, Elsa Desmaele, Jean-Louis F Hazemann, Rodolphe Vuilleumier, Gleb Pokrovski
- article
- Goldschmidt 2021, Jul 2021, Virtual, France. ⟨10.7185/gold2021.6034⟩
- Accès au bibtex
-
- titre
- Dynamical wetting in ultra-hydrophobic nanopores down to angstrom scale
- auteur
- Cyril Picard, Valentin Gérard, Loïc Michel, X. Cattoen, Elisabeth Charlaix
- article
- Liquid Matter Conference, Jul 2021, Prague, Czech Republic
- Accès au bibtex
-
- titre
- OrganoSilica Nanoparticles for Nanomedicine
- auteur
- X. Cattoen, Shridevi Shenoi Perdoor, Stéphanie Kodjikian, Achraf Noureddine, Michel Wong Chi Man, Magali Gary-Bobo, Olivier Pascual, Yann Bretonnière, A. Barbara, Fabien Dubois, Alain Ibanez
- article
- Nanotech France 2021, Jun 2021, Paris, France
- Accès au bibtex
-
- titre
- Third-order nonlinear optics in KTP ridge waveguides
- auteur
- Augustin Vernay, Veronique Boutou, Corinne Felix, David Jegouso, Florent Bassignot, Mathieu Chauvet, Benoit Boulanger
- article
- 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC), Jun 2021, Munich, Germany. ⟨10.1109/CLEO/Europe-EQEC52157.2021.9542186⟩
- Accès au bibtex
-
- titre
- Hybrid power module with Diamond and SiC power devices: modeling, performances and challenges
- auteur
- Nicolas C. Rouger, Anne Castelan, Idriss Nachete, Nazareno Donato, Florin Udrea, Julien Pernot
- article
- MRS Spring Meeting 2021, Apr 2021, Seattle, United States
- Accès au bibtex
-
- titre
- Towards the implementation of diamond power devices in power converters and measurements of their switching losses
- auteur
- Nicolas C. Rouger, Damien Risaletto, Pierre Lefranc, Pierre-Olivier Jeannin, Hugo Cagnol, Gaëtan Perez, Sébastien Vinnac, Julien Pernot, David Eon, Etienne Gheeraert
- article
- MRS Spring Meeting 2021, Apr 2021, Seattle, United States
- Accès au bibtex
-
- titre
- NbN-on-Si superconducting nanowire single photon detectors: effect of a sputtered AlN buffer layer
- auteur
- Raouia Rhazi, Houssaine Machhadani, C. Bougerol, Stéphane Lequien, Guillaume Rodriguez, Richard Souil, Jean-Luc Thomassin, Nicolas Mollard, Eva Monroy, Ségolène Olivier, Jean-Michel Gerard
- article
- Advanced Photon Counting Techniques XV, Apr 2021, Online Only, United States. pp.12, ⟨10.1117/12.2587853⟩
- Accès au bibtex
-
- titre
- AlGaN Nanostructures for Electron Beam Pumped UV Emitters
- auteur
- Anjali Harikumar, Sergi Cuesta, Ioanna Dimkou, Quang Minh Thai, Fabrice Donatini, Yoann Curé, Stephen T Purcell, Daniel Le Si Dang, Eva Monroy
- article
- UKNC Winter Meeting, UK Nitrides Consortium, Jan 2021, Cambridge, United Kingdom
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- The FDMNES code
- auteur
- O. Bunău, Aline Y. Ramos, Yves Joly
- article
- International Tables of Crystallography, Volume I, 2021, ⟨10.1107/S1574870720003304⟩
- Accès au bibtex
-
Thèse
- titre
- The resource cost of large scale quantum computing
- auteur
- Marco Fellous Asiani
- article
- Quantum Physics [quant-ph]. Université Grenoble Alpes [2020-..], 2021. English. ⟨NNT : 2021GRALY068⟩
- Accès au texte intégral et bibtex
-
- titre
- Realtime imaging of force fields at the nanoscale with a 2D nano-mechanical probe
- auteur
- Philip Heringlake
- article
- Condensed Matter [cond-mat]. Université Grenoble Alpes [2020-..], 2021. English. ⟨NNT : 2021GRALY063⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural analysis of heterogeneous multi-phased compounds : application to carbon-based black pigments from Antiquity
- auteur
- Pierre-Olivier Autran
- article
- Material chemistry. Université Grenoble Alpes [2020-..], 2021. English. ⟨NNT : 2021GRALY048⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical cryocooler design and demonstration for space applications
- auteur
- Rémi Vicente
- article
- Instrumentation and Methods for Astrophysic [astro-ph.IM]. Université Grenoble Alpes, 2021. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude de deux nouvelles configurations pour la génération de triplets de photons
- auteur
- Augustin Vernay
- article
- Optique / photonique. Université Grenoble Alpes [2020-..], 2021. Français. ⟨NNT : 2021GRALT019⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- The Quantum Eraser Non-Paradox: a comment on arXiv:2111.09347v1
- auteur
- Aurélien Drezet
- article
- 2021
- Accès au bibtex
-
- titre
- Spin-networks in the ZX-calculus
- auteur
- Richard D.P. East, Pierre Martin-Dussaud, John van de Wetering
- article
- 2021
- Accès au bibtex
-
- titre
- Lack of Value Definiteness in Quantum Gravity
- auteur
- Enrico Cinti, Cristian Mariani, Marco Sanchioni
- article
- 2021
- Accès au bibtex
-
2020
Article dans une revue
- titre
- The role of sulfur in molybdenum transport in hydrothermal fluids: Insight from in situ synchrotron XAS experiments and molecular dynamics simulations
- auteur
- Weihua Liu, Barbara Etschmann, Yuan Mei, Qiushi Guan, Denis Testemale, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2020, 290, pp.162-179. ⟨10.1016/j.gca.2020.08.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Energetic footprints of irreversibility in the quantum regime
- auteur
- M. Mohammady, Alexia Auffèves, J. Anders
- article
- Communications Physics, 2020, 3, pp.89. ⟨10.1038/s42005-020-0356-9⟩
- Accès au bibtex
-
- titre
- Size Effects on Charge Transport Mechanisms and Magnetotransport Properties of Pr0.67Sr0.33MnO3 Nanoparticles
- auteur
- W. Mabrouki, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Journal of Electronic Materials, 2020, 49 (12), pp.7024-7035. ⟨10.1007/s11664-020-08450-x⟩
- Accès au bibtex
-
- titre
- Giant negative thermal expansion across the first-order magnetoelastic transition in Hf0.86Ta0.14Fe2
- auteur
- L. V. B. Diop, O. Isnard, Mehdi Amara, F. Gay, J. P. Itié
- article
- Journal of Alloys and Compounds, 2020, 845, pp.156310. ⟨10.1016/j.jallcom.2020.156310⟩
- Accès au texte intégral et bibtex
-
- titre
- Methane dry reforming on supported cobalt nanoparticles promoted by boron
- auteur
- Abdullah Al Abdulghani, Jung-Hyun Park, Sergey Kozlov, Dong-Chang Kang, Bedour Alsabban, Srikanth Pedireddy, Antonio Aguilar-Tapia, Samy Ould-Chikh, Jean-Louis F Hazemann, Jean-Marie Basset, Luigi Cavallo, Kazuhiro Takanabe
- article
- Journal of Catalysis, 2020, 392, pp.126-134. ⟨10.1016/j.jcat.2020.09.015⟩
- Accès au bibtex
-
- titre
- Improving hydrogen storage performance of Mg-based alloy through microstructure optimization
- auteur
- Jing Wen, Patricia de Rango, Nathalie Allain, Laetitia Laversenne, Thierry Grosdidier
- article
- Journal of Power Sources, 2020, 480, pp.228823. ⟨10.1016/j.jpowsour.2020.228823⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic properties and impedance spectroscopic analysis in Pr0.7Ca0.3Mn0.95Fe0.05O3 perovskite ceramic
- auteur
- Y. Moualhi, R. M’nassri, Muaffaq Nofal, H. Rahmouni, A. Selmi, M. Gassoumi, Nassira Boudjada, K. Khirouni, A. Cheikhrouhou
- article
- Journal of Materials Science: Materials in Electronics, 2020, 31 (23), pp.21046-21058. ⟨10.1007/s10854-020-04617-8⟩
- Accès au bibtex
-
- titre
- Demonstration of site-selective angular-resolved absorption spectroscopy of the 4I15/2 - 4I13/2 erbium transition in the monoclinic crystal Y2SiO5
- auteur
- Yannick Petit, Benoit Boulanger, Jérôme Debray, Thierry Chanelière
- article
- Optical Materials: X, 2020, 8, pp.100062. ⟨10.1016/j.omx.2020.100062⟩
- Accès au texte intégral et bibtex
-
- titre
- Measurement-dependence cost for Bell nonlocality: causal vs retrocausal models
- auteur
- Michael J. W. Hall, Cyril Branciard
- article
- Physical Review A, 2020, 102 (5), pp.052228. ⟨10.1103/PhysRevA.102.052228⟩
- Accès au texte intégral et bibtex
-
- titre
- Carrier dynamics near a crack in GaN microwires with AlGaN multiple quantum wells
- auteur
- Sylvain Finot, Vincent Grenier, Vitaly Zubialevich, Catherine Bougerol, Pietro Pampili, Joël Eymery, Peter Parbrook, Christophe Durand, Gwenolé Jacopin
- article
- Applied Physics Letters, 2020, 117 (22), pp.221105. ⟨10.1063/5.0023545⟩
- Accès au texte intégral et bibtex
-
- titre
- Entangled N-photon states for fair and optimal social decision making
- auteur
- Nicolas Chauvet, Guillaume Bachelier, Serge Huant, Hayato Saigo, Hirokazu Hori, Makoto Naruse
- article
- Scientific Reports, 2020, 10, pp.20420. ⟨10.1038/s41598-020-77340-3⟩
- Accès au texte intégral et bibtex
-
- titre
- The ThomX ICS source
- auteur
- Kevin Dupraz, Muath Alkadi, Manuel Alves, Loic Amoudry, Didier Auguste, Jean-Luc Babigeon, Michel Baltazar, Alain Benoit, Julien Bonis, Jean Bonenfant, Christelle Bruni, Kevin Cassou, Jean-Noël Cayla, Thomas Chabaud, Iryna Chaikovska, Sophie Chance, Vincent Chaumat, Ronic Chiche, Alain Cobessi, Patrick Cornebise, Olivier Dalifard, Nicolas Delerue, Remy Dorkel, Denis Douillet, Jean-Phillipe Dugal, Noureddine El Kamchi, Mohamed El Khaldi, Ezgi Ergenlik, Pierre Favier, Marco Fernandez, Alexis Gamelin, Jean-Francois Garaut, Luca Garolfi, Philippe Gauron, Frédéric Gauthier, Alexandre Gonnin, Denis Grasset, Eric Guerard, Hayg Guler, Jacques Haissinski, Emmanuel Herry, Gregory Iaquaniello, Marie Jacquet, Eric Jules, Vlacheslav Kubytskyi, Marc Langlet, Titouan Le Barillec, Jean-François Ledu, Damien Leguidec, Bruno Leluan, Pierre Lepercq, Frédéric Letellier-Cohen, Rodolphe Marie, Jean-Claude Marrucho, Aurélien Martens, Christophe Mageur, Gabriel Mercadier, Bruno Mercier, Eric Mistretta, Hugues Monard, Alexandre Moutardier, Olivier Neveu, Daniele Nutarelli, Maher Omeich, Yann Peinaud, Yann Petrilli, Marc Pichet, Eric Plaige, Christophe Prévost, Philippe Rudnicky, Viktor Soskov, Monique Taurigna-Quéré, Stéphane Trochet, Cynthia Vallerand, Olivier Vitez, François Wicek, Sébastien Wurth, Fabian Zomer, Patrick Alexandre, Rachid Ben El Fekih, Philippe Berteaud, François Bouvet, Renaud Cuoq, Antonio Diaz, Yannick Dietrich, Massamba Diop, Moussa El Ajjouri, Antoine Letrésor, Dominique Pedeau, Eric Dupuy, Fabrice Marteau, Dias Helder, Nicolas Hubert, José Veteran, Marie Labat, Alain Lestrade, Antoine Letrésor, Robert Lopes, Alexandre Loulergue, Marc Louvet, Patrick Marchand, Moussa El Ajjouri, Didier Muller, Amor Nadji, Laurent Nadolski, Ryutaro Nagaoka, Sylvain Petit, Jean-Pierre Pollina, Fernand Ribeiro, Manuel Ros, Julien Salvia, Sébastien Bobault, Mourad Sebdaoui, Rajesh Sreedharan, Yazid Bouanai, Jean-Louis F Hazemann, Jean-Louis Hodeau, Emmanuel Roy, Philippe Jeantet, Jérôme Lacipière, Pierre Robert, Jean-Michel Horodynski, Harold Bzyl, Christophe Chapelle, Marica Biagini, Philippe Walter, Alberto Bravin, William Del Net, Eric Lahéra, Olivier Proux, Hélène Elleaume, Eric Cormier
- article
- Physics Open, 2020, 5, pp.100051. ⟨10.1016/j.physo.2020.100051⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrabright Silica-Coated Organic Nanocrystals for Two-Photon In Vivo Imaging
- auteur
- Shridevi Shenoi Perdoor, Fabien Dubois, Aude Barbara, Christophe Nguyen, Lamiaa M A Ali, Magali Gary-Bobo, Ines Hristovska, Kassandre Combet, Olivier Pascual, Guillaume Micouin, Akos Banyasz, Yann Bretonnière, Chantal Andraud, Alain Ibanez, X. Cattoen
- article
- ACS Applied Nano Materials, 2020, 3 (12), pp.11933-11944. ⟨10.1021/acsanm.0c02499⟩
- Accès au texte intégral et bibtex
-
- titre
- Mechanical analog of quantum bradyons and tachyons
- auteur
- Aurélien Drezet, Pierre Jamet, Donatien Bertschy, Arnaud Ralko, Cédric Poulain
- article
- Physical Review E , 2020, 102 (5), pp.052206. ⟨10.1103/physreve.102.052206⟩
- Accès au texte intégral et bibtex
-
- titre
- Multiferroic BaCoX 2 O 7 (X = P, As) Compounds with Incommensurate Structural Waves but Collinear Spin Ingredients
- auteur
- Bastien Leclercq, Angel Arévalo-López, Houria Kabbour, Sylvie Daviero-Minaud, Alain Pautrat, Tathamay Basu, Claire Colin, Ranjana-Rani Das, Rénald David, Olivier Mentré
- article
- Advanced Quantum Technologies, 2020, 4, pp.2000064. ⟨10.1002/qute.202000064⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure, magnetic and field dependence of magnetocaloric properties of Pr0.5 RE0.1Sr0.4MnO3 (RE = Eu and Er)
- auteur
- A. Sakka, R. M'Nassri, Muaffaq Nofal, S. Mahjoub, W. Cheikhrouhou-Koubaa, Nassira Boudjada, M. Oumezzine, A. Cheikhrouhou
- article
- Journal of Magnetism and Magnetic Materials, 2020, 514, pp.167158. ⟨10.1016/j.jmmm.2020.167158⟩
- Accès au bibtex
-
- titre
- Structural properties and Raman spectra of columbite-type NiNb2−V O6 synthesized under high pressure
- auteur
- J.P. Pena, Pierre Bouvier, O. Isnard
- article
- Journal of Solid State Chemistry, 2020, 291, pp.121607. ⟨10.1016/j.jssc.2020.121607⟩
- Accès au texte intégral et bibtex
-
- titre
- High temperature operation of a monolithic bidirectional diamond switch
- auteur
- Cédric Masante, Julien Pernot, Aurélien Maréchal, Nicolas C. Rouger
- article
- Diamond and Related Materials, 2020, 111, pp.108185. ⟨10.1016/j.diamond.2020.108185⟩
- Accès au texte intégral et bibtex
-
- titre
- Sintering temperature effect on the magnetic interactions in Pr0.67Sr0.33MnO3 manganite
- auteur
- W. Mabrouki, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Journal of Sol-Gel Science and Technology, 2020, 96 (2), pp.336-345. ⟨10.1007/s10971-020-05368-y⟩
- Accès au bibtex
-
- titre
- Multimode storage of quantum microwave fields in electron spins over 100 ms
- auteur
- V. Ranjan, J. O’sullivan, E. Albertinale, B. Albanese, T. Chanelière, T. Schenkel, D. Vion, D. Esteve, E. Flurin, J.J.L. Morton, P. Bertet
- article
- Physical Review Letters, 2020, 125 (21), pp.210505. ⟨10.1103/PhysRevLett.125.210505⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic and Intercalation Properties of BaRu 2 O 6 and SrRu 2 O 6
- auteur
- Thomas Marchandier, Gwenaëlle Rousse, Quentin Jacquet, Artem Abakumov, François Fauth, Claire Colin, Jean-Marie Tarascon
- article
- Chemistry of Materials, 2020, 32 (19), pp.8471-8480. ⟨10.1021/acs.chemmater.0c02469⟩
- Accès au bibtex
-
- titre
- Internal quantum efficiency of AlGaN/AlN quantum dot superlattices for electron-pumped ultraviolet sources
- auteur
- Anjali Harikumar, F Donatini, C Bougerol, E Bellet-Amalric, Quang Minh Thai, Christophe Dujardin, Ioanna Dimkou, Stephen Purcell, E Monroy
- article
- Nanotechnology, 2020, 31 (50), pp.505205. ⟨10.1088/1361-6528/aba86c⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermodynamics of optical Bloch equations
- auteur
- Cyril Elouard, David Herrera-Martí, Massimiliano Esposito, Alexia Auffèves
- article
- New Journal of Physics, 2020, 22 (10), pp.103039. ⟨10.1088/1367-2630/abbd6e⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluating edge loss in the reflectance measurement of translucent materials
- auteur
- Lou Gevaux, Lionel Simonot, Raphael Clerc, Morgane Gerardin, Mathieu Hebert
- article
- Applied optics, 2020, 59 (28), pp.8939-8950. ⟨10.1364/AO.403694⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetically driven giant negative thermal expansion covering room temperature in Hf0.875Ta0·125Fe2
- auteur
- L. V. B. Diop, O. Isnard
- article
- Solid State Communications, 2020, 320, pp.114021. ⟨10.1016/j.ssc.2020.114021⟩
- Accès au texte intégral et bibtex
-
- titre
- Heat capacity and high temperature electrical transport properties of TbNiC2
- auteur
- V. Levytskyi, P. Wyżga, O. Isnard, V. Babizhetskyy, B. Kotur
- article
- Solid State Sciences, 2020, 108, pp.106396. ⟨10.1016/j.solidstatesciences.2020.106396⟩
- Accès au bibtex
-
- titre
- Fabrication and Magnetic Actuation of 3D‐Microprinted Multifunctional Hybrid Microstructures
- auteur
- Victor Vieille, Roxane Pétrot, Olivier Stéphan, Guillaume Delattre, Florence Marchi, Marc Verdier, Orphee Cugat, Thibaut Devillers
- article
- Advanced Materials Technologies, 2020, 5 (10), pp.2000535. ⟨10.1002/admt.202000535⟩
- Accès au texte intégral et bibtex
-
- titre
- Diamond semiconductor performances in power electronics applications
- auteur
- Gaëtan Perez, Aurélien Maréchal, Gauthier Chicot, Pierre Lefranc, Pierre-Olivier Jeannin, David Eon, Nicolas C. Rouger
- article
- Diamond and Related Materials, 2020, 110, pp.108154. ⟨10.1016/j.diamond.2020.108154⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical Determination of the Band Gap and Band Tail of Epitaxial Ag2ZnSnSe4 at Low Temperature
- auteur
- S Perret, Y Curé, Joël Bleuse, Louis Grenet, Régis André, H. Mariette
- article
- Physical Review B, 2020, 102 (19), pp.195205. ⟨10.1103/PhysRevB.102.195205⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of Fe doping on physical properties of charge ordered praseodymium–calcium–manganite material
- auteur
- Y. Moualhi, R. M’nassri, Muaffaq Nofal, H. Rahmouni, A. Selmi, M. Gassoumi, Nassira Boudjada, K. Khirouni, A. Cheikrouhou
- article
- The European Physical Journal Plus, 2020, 135 (10), pp.809. ⟨10.1140/epjp/s13360-020-00838-2⟩
- Accès au bibtex
-
- titre
- Lattice performance during initial steps of the Smart-Cut™ process in semiconducting diamond: A STEM study
- auteur
- J.C. Piñero, J. de Vecchy, D. Fernández, G. Alba, J. Widiez, L. Di Cioccio, F. Lloret, D. Araujo, Julien Pernot
- article
- Applied Surface Science, 2020, 528, pp.146998. ⟨10.1016/j.apsusc.2020.146998⟩
- Accès au texte intégral et bibtex
-
- titre
- Three different Ge environments in a new Sr 5 CuGe 9 O 24 phase synthesized at high pressure and high temperature
- auteur
- Holger Klein, Stéphanie Kodjikian, Rémy Philippe, Lei Ding, Claire Colin, Céline Darie, Pierre Bordet
- article
- Acta Crystallographica Section B : Structural Science, Crystal Engineering and Materials [2014-..], 2020, 76 (5), pp.727-732. ⟨10.1107/S2052520620008914⟩
- Accès au texte intégral et bibtex
-
- titre
- UV Emission from GaN Wires with m -Plane Core–Shell GaN/AlGaN Multiple Quantum Wells
- auteur
- Vincent Grenier, Sylvain Finot, Gwenolé Jacopin, Catherine Bougerol, Nicolas Mollard, Bruno Gayral, Eva Monroy, J. Eymery, Christophe Durand
- article
- ACS Applied Materials & Interfaces, 2020, 12 (39), pp.44007-44016. ⟨10.1021/acsami.0c08765⟩
- Accès au texte intégral et bibtex
-
- titre
- Communication through coherent control of quantum channels
- auteur
- Alastair Abbott, Julian Wechs, Dominic Horsman, Mehdi Mhalla, Cyril Branciard
- article
- Quantum, 2020, 4, pp.333. ⟨10.22331/q-2020-09-24-333⟩
- Accès au texte intégral et bibtex
-
- titre
- The shape and speciation of Ag nanoparticles drive their impacts on organisms in a lotic ecosystem
- auteur
- Melanie Auffan, Catherine Santaella, L Brousset, M Tella, E Morel, P Ortet, M Barakat, C Chaneac, J Issartel, B Angeletti, C. Levard, J-L Hazemann, M Wiesner, J. Rose, A Thiéry, J-y Bottero
- article
- Environmental science.Nano, 2020, 7, pp.3167 - 3177. ⟨10.1039/d0en00442a⟩
- Accès au texte intégral et bibtex
-
- titre
- Moving Ions Vary Electronic Conductivity in Lead Bromide Perovskite Single Crystals through Dynamic Doping
- auteur
- Marise García Batlle, Oriane Baussens, Smaïl Amari, Julien Zaccaro, Eric Gros d'Aillon, Jean-Marie Verilhac, Antonio Guerrero, Germa Garcia-Belmonte
- article
- Advanced Electronic Materials, 2020, 6 (10), pp.2000485. ⟨10.1002/aelm.202000485⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrahigh conversion efficiency of betavoltaic cell using diamond pn junction
- auteur
- T. Shimaoka, H. Umezawa, K. Ichikawa, J. Pernot, S. Koizumi
- article
- Applied Physics Letters, 2020, 117 (10), pp.103902. ⟨10.1063/5.0020135⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical and structural analysis of ultra-long GaAs nanowires after nitrogen-plasma passivation
- auteur
- Yamina André, Nebile Isik Goktas, Guillaume Monier, Hadi Hijazi, Hussein Mehdi, Catherine Bougerol, Luc Bideux, Agnès Trassoudaine, Daniel Paget, Joël Leymarie, Evelyne Gil, Christine Robert-Goumet, Ray R Lapierre
- article
- Nano Express, 2020, 1 (2), pp.020019. ⟨10.1088/2632-959X/aba7f1⟩
- Accès au texte intégral et bibtex
-
- titre
- Photoluminescence of Heterostructures with Ultrathin CdTe/ZnTe Quantum Wells
- auteur
- N. Filosofov, A. Yu. Serov, G. Karczewski, V. Agekyan, H. Mariette, V. Kochereshko
- article
- Physics of the Solid State, 2020, 62 (9), pp.1633-1638. ⟨10.1134/S1063783420090073⟩
- Accès au bibtex
-
- titre
- Gd doping effect on structural, electrical and dielectric properties in HoCrO3 orthochromites for electric applications
- auteur
- R. Mguedla, A. Ben Jazia Kharrat, N. Moutia, K. Khirouni, Nassira Boudjada, W. Boujelben
- article
- Journal of Alloys and Compounds, 2020, 836, pp.155186. ⟨10.1016/j.jallcom.2020.155186⟩
- Accès au bibtex
-
- titre
- Sub-Wavelength THz Imaging of the Domains in Periodically Poled Crystals Through Optical Rectification
- auteur
- Gizem Soylu, Emilie Hérault, Benoît Boulanger, Fredrik Laurell, Jean-Louis Coutaz
- article
- Journal of Infrared, Millimeter and Terahertz Waves, 2020, 41 (9), pp.1144-1154. ⟨10.1007/s10762-020-00704-3⟩
- Accès au bibtex
-
- titre
- Reversible Al Propagation in Si x Ge 1-x Nanowires: Implications for Electrical Contact Formation
- auteur
- Minh Anh Luong, Eric Robin, Nicolas Pauc, Pascal Gentile, Thierry Baron, Bassem Salem, Masiar Sistani, Alois Lugstein, Maria Spies, Bruno Fernandez, M. den Hertog
- article
- ACS Applied Nano Materials, 2020, 3 (10), pp.10427-10436. ⟨10.1021/acsanm.0c02303⟩
- Accès au texte intégral et bibtex
-
- titre
- Migration of Natural Hydrogen from Deep-Seated Sources in the São Francisco Basin, Brazil
- auteur
- Frédéric-Victor Donzé, Laurent Truche, Parisa Shekari Namin, Nicolas Lefeuvre, Elena Bazarkina
- article
- Geosciences, 2020, 10 (9), pp.346. ⟨10.3390/geosciences10090346⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen storage properties of the refractory Ti–V–Zr–Nb–Ta multi-principal element alloy
- auteur
- Jorge Montero, Gustav Ek, Laetitia Laversenne, Vivian Nassif, Guilherme Zepon, Martin Sahlberg, Claudia Zlotea
- article
- Journal of Alloys and Compounds, 2020, 835, pp.155376. ⟨10.1016/j.jallcom.2020.155376⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic and Magnetocaloric Effect of Laves Phase Compounds Er(Fe0.8−xMn0.2−yCox+y)2 with x, y = 0.0 or 0.1
- auteur
- Safa Othmani, Ichrak Chaaba, Sonia Haj-Khlifa, Patricia de Rango, Daniel Fruchart
- article
- Metals, 2020, 10 (9), pp.1247. ⟨10.3390/met10091247⟩
- Accès au texte intégral et bibtex
-
- titre
- Superhyperfine induced photon-echo collapse of erbium in Y 2 Si O 5
- auteur
- Benjamin Car, Jean-Louis Le Gouët, Thierry Chanelière
- article
- Physical Review B, 2020, 102 (11), pp.115119. ⟨10.1103/PhysRevB.102.115119⟩
- Accès au texte intégral et bibtex
-
- titre
- Cyclopentadithiophene-Based Hole-Transporting Material for Highly Stable Perovskite Solar Cells with Stabilized Efficiencies Approaching 21%
- auteur
- Seckin Akin, Michael Bauer, Ryusuke Uchida, Neha Arora, Gwenolé Jacopin, Yuhang Liu, Dirk Hertel, Klaus Meerholz, Elena Mena-Osteritz, Peter Bäuerle, Shaik Mohammed Zakeeruddin, M. Ibrahim Dar, Michael Grätzel
- article
- ACS Applied Energy Materials, 2020, 3 (8), pp.7456-7463. ⟨10.1021/acsaem.0c00811⟩
- Accès au bibtex
-
- titre
- X-ray diffraction setup for breast tissue characterization: Experimental validation on beef phantoms
- auteur
- Vera Feldman, Caroline Paulus, Joachim Tabary, Olivier Monnet, Marie-Claude Gentet, Jean-Louis F Hazemann
- article
- Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, 2020, 972, pp.164075. ⟨10.1016/j.nima.2020.164075⟩
- Accès au texte intégral et bibtex
-
- titre
- Research on Efficient Fast Scintillators: Evidence and X‐Ray Absorption Near Edge Spectroscopy Characterization of Ce 4+ in Ce 3+ , Mg 2+ ‐Co‐Doped Gd 3 Al 2 Ga 3 O 12 Garnet Crystal
- auteur
- Géraldine Dantelle, Georges Boulon, Yannick Guyot, Denis Testemale, Malgorzata Guzik, Shunsuke Kurosawa, Kei Kamada, Akira Yoshikawa
- article
- physica status solidi (b), 2020, 257 (8), pp.1900510. ⟨10.1002/pssb.201900510⟩
- Accès au texte intégral et bibtex
-
- titre
- Suppression of Metamagnetic Transitions of Martensitic Type by Particle Size Reduction in Charge-Ordered La 0.5 Ca 0.5 MnO 3
- auteur
- S. Dhieb, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Journal of Physical Chemistry C, 2020, 124 (32), pp.17762-17771. ⟨10.1021/acs.jpcc.0c04910⟩
- Accès au bibtex
-
- titre
- Excitons in CdTe/ZnTe heterostructure with atomically thin CdTe layers
- auteur
- N. Filosofov, A. Yu. Serov, G. Karczewski, V. Agekian, H. Mariette, V. Kochereshko
- article
- AIP Advances, 2020, 10 (8), pp.085224. ⟨10.1063/5.0012146⟩
- Accès au texte intégral et bibtex
-
- titre
- Bulachite, [Al 6 (AsO 4 ) 3 (OH) 9 (H 2 O) 4 ]⋅2H 2 O from Cap Garonne, France: Crystal structure and formation from a higher hydrate
- auteur
- Ian Grey, Emre Yoruk, Stéphanie Kodjikian, Holger Klein, Catherine Bougerol, Helen E.A. Brand, Pierre Bordet, William Mumme, Georges Favreau, Stuart Mills
- article
- Mineralogical Magazine, 2020, 84 (4), pp.608-615. ⟨10.1180/mgm.2020.52⟩
- Accès au texte intégral et bibtex
-
- titre
- Spin fine-structure reveals bi-exciton geometry in an organic semiconductor
- auteur
- K. M. M Yunusova, S. L. L Bayliss, T. Chanelière, V. Derkach, J. E. E Anthony, Alexei Chepelianskii, L. R. R Weiss
- article
- Physical Review Letters, 2020, 125 (9), pp.097402. ⟨10.1103/PhysRevLett.125.097402⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient mirco- and nanoparticle trapping by improved optical fiber tweezers using 3D printed diffractive optical elements
- auteur
- Asa Asadollahbaik, Simon Thiele, Ksenia Weber, Aashutosh Kumar, Johannes Drozella, Alois Herkommer, Harald Giessen, Jochen Fick
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2020, Optical Trapping and Optical Micromanipulation XVII, 11463 (114631E), ⟨10.1117/12.2567647⟩
- Accès au bibtex
-
- titre
- Quantum relational indeterminacy
- auteur
- Claudio Calosi, Cristian Mariani
- article
- Studies in History and Philosophy of Science Part B: Studies in History and Philosophy of Modern Physics, 2020, 71, pp.158-169. ⟨10.1016/j.shpsb.2020.06.002⟩
- Accès au bibtex
-
- titre
- X-ray absorption spectroscopy and Eu3+-emission characteristics in GaAs/SnO2 heterostructure
- auteur
- Cristina Bueno, Aline Y. Ramos, Aude Bailly, Eric Mossang, Luis Scalvi
- article
- SN Applied Sciences, 2020, 2 (9), pp.1579. ⟨10.1007/s42452-020-03344-3⟩
- Accès au texte intégral et bibtex
-
- titre
- An insight into the charge carriers transport properties and electric field distribution of CH 3 NH 3 PbBr 3 thick single crystals
- auteur
- Oriane Baussens, Loli Maturana, Smaïl Amari, Julien Zaccaro, Jean-Marie Verilhac, Lionel Hirsch, Eric Gros-Daillon
- article
- Applied Physics Letters, 2020, 117 (4), pp.041904. ⟨10.1063/5.0011713⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal Orbital Overlap Population and X-ray Absorption Spectroscopy
- auteur
- Maria Diaz-Lopez, Sergey A Guda, Yves Joly
- article
- Journal of Physical Chemistry A, 2020, 124 (29), pp.6111-6118. ⟨10.1021/acs.jpca.0c04084⟩
- Accès au texte intégral et bibtex
-
- titre
- Garnet-Type Nanophosphors for White LED Lighting
- auteur
- Alexandra Cantarano, Alain Ibanez, Geraldine Dantelle
- article
- Frontiers in Materials, 2020, 7, pp.210. ⟨10.3389/fmats.2020.00210⟩
- Accès au texte intégral et bibtex
-
- titre
- Rare-earth-free zinc aluminium borate white phosphors for LED lighting
- auteur
- Pierre Gaffuri, Mathieu Salaun, Isabelle Gautier-Luneau, Geneviève Chadeyron, Audrey Potdevin, Laetitia Rapenne, Estelle Appert, Vincent Consonni, Alain Ibanez
- article
- Journal of Materials Chemistry C, 2020, 8 (34), pp.11839-11849. ⟨10.1039/d0tc02196b⟩
- Accès au texte intégral et bibtex
-
- titre
- Plasmon-Driven Hot Electron Transfer at Atomically Sharp Metal-Semiconductor Nanojunctions
- auteur
- Masiar Sistani, Maximilian G Bartmann, Nicholas Güsken, Rupert F Oulton, Hamid Keshmiri, Minh Anh Luong, Zahra Sadre Momtaz, M. den Hertog, Alois Lugstein
- article
- ACS photonics, 2020, 7 (7), pp.1642-1648. ⟨10.1021/acsphotonics.0c00557⟩
- Accès au texte intégral et bibtex
-
- titre
- Building Practical Descriptors for Defect Engineering of Electrocatalytic Materials
- auteur
- Raphaël Chattot, Pierre Bordet, Isaac Martens, Jakub Drnec, Laetitia Dubau, Frédéric Maillard
- article
- ACS Catalysis, 2020, 10 (16), pp.9046-9056. ⟨10.1021/acscatal.0c02144⟩
- Accès au texte intégral et bibtex
-
- titre
- Transparent and luminescent glasses of gold thiolate coordination polymers
- auteur
- Shefali Vaidya, Oleksandra Veselska, Antonii Zhadan, Maria Diaz-Lopez, Yves Joly, Pierre Bordet, Nathalie Guillou, Christophe Dujardin, Gilles Ledoux, François Toche, Rodica Chiriac, Alexandra Fateeva, Satoshi Horike, Aude Demessence
- article
- Chemical Science, 2020, 11 (26), pp.6815-6823. ⟨10.1039/D0SC02258F⟩
- Accès au texte intégral et bibtex
-
- titre
- Zinc Vacancy-Hydrogen Complexes as Major Defects in ZnO Nanowires Grown by Chemical Bath Deposition
- auteur
- José Villafuerte, Fabrice Donatini, Joseph Kioseoglou, Eirini Sarigiannidou, Odette Chaix-Pluchery, Julien Pernot, Vincent Consonni
- article
- Journal of Physical Chemistry C, 2020, 124 (30), pp.16652-16662. ⟨10.1021/acs.jpcc.0c04264⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigations on the magnetic properties of the Fe5-xCoxSiB2 alloys by experimental and band structure calculation methods
- auteur
- R. Hirian, O. Isnard, V. Pop, D. Benea
- article
- Journal of Magnetism and Magnetic Materials, 2020, 505, pp.166748. ⟨10.1016/j.jmmm.2020.166748⟩
- Accès au bibtex
-
- titre
- Bound Hole States Associated to Individual Vanadium Atoms Incorporated into Monolayer WSe 2
- auteur
- Pierre Mallet, Florian Chiapello, Hanako Okuno, Hervé Boukari, Matthieu Jamet, Jean-Yves Veuillen
- article
- Physical Review Letters, 2020, 125 (3), pp.036802. ⟨10.1103/PhysRevLett.125.036802⟩
- Accès au texte intégral et bibtex
-
- titre
- Yttrium complexation and hydration in chloride-rich hydrothermal fluids: A combined ab initio molecular dynamics and in situ X-ray absorption spectroscopy study
- auteur
- Qiushi Guan, Yuan Mei, Barbara Etschmann, Denis Testemale, Marion Louvel, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2020, 281, pp.168-189. ⟨10.1016/j.gca.2020.04.015⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of B-site doping on magnetic and magnetocaloric effect of Pr0.75Bi0.05Sr0.1Ba0.1Mn1-xTixO3 (0≤x≤0.04) manganites
- auteur
- H.E. Sekrafi, A. Ben Jazia Kharrat, Nassira Boudjada, W. Boujelben
- article
- Solid State Sciences, 2020, 105, pp.106274. ⟨10.1016/j.solidstatesciences.2020.106274⟩
- Accès au bibtex
-
- titre
- Quantum transport in self-similar graphene carpets
- auteur
- G. Bouzerar, D. Mayou
- article
- Physical Review Research, 2020, 2 (3), pp.033063. ⟨10.1103/PhysRevResearch.2.033063⟩
- Accès au texte intégral et bibtex
-
- titre
- Autonomous Maxwell's demon in a cavity QED system
- auteur
- Baldo-Luis Najera-Santos, Patrice Camati, Valentin Métillon, Michel Brune, Jean-Michel Raimond, Alexia Auffèves, Igor Dotsenko
- article
- Physical Review Research, 2020, 2 (3), pp.032025(R). ⟨10.1103/PhysRevResearch.2.032025⟩
- Accès au bibtex
-
- titre
- Hydrogen Storage Properties of Mg-Ni Alloys Processed by Fast Forging
- auteur
- Patricia de Rango, Jing Wen, Nataliya Skryabina, Laetitia Laversenne, Daniel Fruchart, Marielle Borges
- article
- Energies, 2020, 13 (13), pp.3509. ⟨10.3390/en13133509⟩
- Accès au texte intégral et bibtex
-
- titre
- Correction: Reversible densification in nano-Li 2 MnO 3 cation disordered rock-salt Li-ion battery cathodes
- auteur
- Maria Diaz-Lopez, Philip Chater, Yves Joly, Olivier Proux, Jean-Louis F Hazemann, Pierre Bordet, Valérie Pralong
- article
- Journal of Materials Chemistry A, 2020, 8 (25), pp.12833-12833. ⟨10.1039/d0ta90126a⟩
- Accès au bibtex
-
- titre
- Non-Hermitian quantum mechanics and exceptional points in molecular electronics
- auteur
- Matthias Ernzerhof, Alexandre Giguère, Didier Mayou
- article
- Journal of Chemical Physics, 2020, 152 (24), pp.244119. ⟨10.1063/5.0006365⟩
- Accès au texte intégral et bibtex
-
- titre
- Stimulated Raman scattering in Ge nanowires
- auteur
- Masiar Sistani, Maximilian G Bartmann, Nicholas A Güsken, Rupert F Oulton, Hamid Keshmiri, Minh Anh Luong, Eric Robin, M. den Hertog, Alois Lugstein
- article
- Journal of Physical Chemistry C, 2020, 124 (25), pp.13872-13877. ⟨10.1021/acs.jpcc.0c02602⟩
- Accès au texte intégral et bibtex
-
- titre
- Hierarchical Structure of NiMo Hydrodesulfurization Catalysts Determined by Ptychographic X-ray Computed Tomography
- auteur
- Johannes Ihli, Leonid Bloch, Frank Krumeich, Klaus Wakonig, Mirko Holler, Manuel Guizar-Sicairos, Thomas Weber, Julio Cesar da Silva, Jeroen Anton van Bokhoven
- article
- Angewandte Chemie International Edition, 2020, 59 (39), pp.17266-17271. ⟨10.1002/anie.202008030⟩
- Accès au texte intégral et bibtex
-
- titre
- Radio-frequency stress-induced modulation of CdTe/ZnTe quantum dots
- auteur
- V. Tiwari, K. Makita, M. Arino, M. Morita, T. Crozes, E. Bellet-Amalric, S. Kuroda, H. Boukari, L. Besombes
- article
- Journal of Applied Physics, 2020, 127 (23), pp.234303. ⟨10.1063/5.0011124⟩
- Accès au texte intégral et bibtex
-
- titre
- Erasing odd-parity states in semiconductor quantum dots coupled to superconductors
- auteur
- Z. Su, R. Žitko, P. Zhang, H. Wu, D. Car, S. Plissard, S. Gazibegovic, G. Badawy, Moïra Hocevar, J. Chen, E. Bakkers, S. Frolov
- article
- Physical Review B, 2020, 101 (23), pp.235315. ⟨10.1103/PhysRevB.101.235315⟩
- Accès au texte intégral et bibtex
-
- titre
- Rocksalt ZnMgO alloys for ultraviolet applications: Origin of band-gap fluctuations and direct-indirect transitions
- auteur
- I Gorczyca, M Wierzbowska, D Jarosz, J Z Domagala, A Reszka, D Le Si Dang, F Donatini, N E Christensen, H Teisseyre
- article
- Physical Review B, 2020, 101 (24), pp.245202. ⟨10.1103/physrevb.101.245202⟩
- Accès au texte intégral et bibtex
-
- titre
- In-Plane Magnetic Domains and Néel-like Domain Walls in Thin Flakes of the Room Temperature CrTe2 Van der Waals Ferromagnet
- auteur
- Anike Purbawati, Johann Coraux, Jan Vogel, Abdellali Hadj-Azzem, Nianjheng Wu, Nedjma Bendiab, David Jegouso, Julien Renard, Laëtitia Marty, Vincent Bouchiat, André Sulpice, Lucia Aballe, Michael Foerster, Francesca Genuzio, Andrea Locatelli, Tevfik Onur Menteş, Zheng Vitto Han, Xingdan Sun, Manuel Nunez-Regueiro, Nicolas Rougemaille
- article
- ACS Applied Materials & Interfaces, 2020, 12 (27), pp.30702-30710. ⟨10.1021/acsami.0c07017⟩
- Accès au bibtex
-
- titre
- Fabrication of magnetocaloric La(Fe,Si) 13 thick films
- auteur
- N. Dung, N. Doan, Patricia de Rango, L. Ranno, K. Sandeman, Nora Dempsey
- article
- Journal of Applied Physics, 2020, 127 (21), pp.215103. ⟨10.1063/5.0006324⟩
- Accès au texte intégral et bibtex
-
- titre
- Morphology Transition of ZnO from Thin Film to Nanowires on Silicon and its Correlated Enhanced Zinc Polarity Uniformity and Piezoelectric Responses
- auteur
- Quang Chieu Bui, Gustavo Ardila, Eirini Sarigiannidou, Hervé Roussel, Carmen Jiménez, Odette Chaix-Pluchery, Youssouf Guerfi, Franck Bassani, Fabrice Donatini, Xavier Mescot, Bassem Salem, Vincent Consonni
- article
- ACS Applied Materials & Interfaces, 2020, 12 (26), pp.29583-29593. ⟨10.1021/acsami.0c04112⟩
- Accès au texte intégral et bibtex
-
- titre
- Reversible densification in nano-Li 2 MnO 3 cation disordered rock-salt Li-ion battery cathodes
- auteur
- Maria Diaz-Lopez, Philip Chater, Yves Joly, Olivier Proux, Jean-Louis F Hazemann, Pierre Bordet, Valérie Pralong
- article
- Journal of Materials Chemistry A, 2020, 8 (21), pp.10998-11010. ⟨10.1039/d0ta03372c⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of defects on Auger recombination in c -plane InGaN/GaN single quantum well in the efficiency droop regime
- auteur
- W. Liu, C. Haller, Y. Chen, T. Weatherley, J.-F. Carlin, Gwenolé Jacopin, R. Butté, N. Grandjean
- article
- Applied Physics Letters, 2020, 116 (22), pp.222106. ⟨10.1063/5.0004321⟩
- Accès au texte intégral et bibtex
-
- titre
- New insights on Br speciation in volcanic glasses and structural controls on halogen degassing
- auteur
- Marion Louvel, Anita Cadoux, Richard A Brooker, Olivier Proux, Jean-Louis F Hazemann
- article
- The American Mineralogist, 2020, 105 (6), pp.795-802. ⟨10.2138/am-2020-7273⟩
- Accès au texte intégral et bibtex
-
- titre
- Electronic structure and quantum transport in twisted bilayer graphene with resonant scatterers
- auteur
- Omid Faizy Namarvar, Ahmed Missaoui, Laurence Magaud, Didier Mayou, Guy Trambly de Laissardière
- article
- Physical Review B, 2020, 101 (24), pp.245407. ⟨10.1103/PhysRevB.101.245407⟩
- Accès au texte intégral et bibtex
-
- titre
- Four-wave mixing dynamics of a strongly coupled quantum-dot–microcavity system driven by up to 20 photons
- auteur
- Daniel Groll, Daniel Wigger, Kevin Jürgens, Thilo Hahn, Christian Schneider, Martin Kamp, Sven Höfling, Jacek Kasprzak, Tilmann Kuhn
- article
- Physical Review B, 2020, 101 (24), pp.245301. ⟨10.1103/PhysRevB.101.245301⟩
- Accès au texte intégral et bibtex
-
- titre
- Correlated and in-situ electrical transmission electron microscopy studies and related membrane fabrication
- auteur
- Maria Spies, Zahra Sadre-Momtaz, Jonas Lähnemann, Minh Anh Luong, Bruno Fernandez, Thierry Fournier, Eva Monroy, Martien I. den Hertog
- article
- Nanotechnology, 2020, 31 (47), pp.472001. ⟨10.1088/1361-6528/ab99f0⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrical magnetotransport properties in RCo12B6 compounds ( R = Y , Gd, and Ho)
- auteur
- F. Mesquita, S. G. Magalhaes, P. Pureur, L. V. B. Diop, O. Isnard
- article
- Physical Review B, 2020, 101 (22), pp.224414. ⟨10.1103/PhysRevB.101.224414⟩
- Accès au texte intégral et bibtex
-
- titre
- Incommensurate spin ordering and excitations in multiferroic SrMnGe2O6
- auteur
- Claire Colin, Lei Ding, E. Ressouche, Julien Robert, Noriki Terada, Frederic Gay, Pascal Lejay, Virginie Simonet, Céline Darie, Pierre Bordet, Sylvain Petit
- article
- Physical Review B, 2020, 101 (23), pp.235109. ⟨10.1103/PhysRevB.101.235109⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum measurement engines and their relevance for quantum interpretations
- auteur
- Andrew Jordan, Cyril Elouard, Alexia Auffèves
- article
- Quantum Studies: Mathematics and Foundations, 2020, 7 (2), pp.203-215. ⟨10.1007/s40509-019-00217-2⟩
- Accès au bibtex
-
- titre
- Anisotropic low-energy vibrational modes as an effect of cage geometry in the binary barium silicon clathrate Ba24Si100
- auteur
- Romain Viennois, Michael Marek Koza, Régis Debord, Pierre Toulemonde, Hannu Mutka, Stéphane Pailhes
- article
- Physical Review B, 2020, 101 (22), pp.224302. ⟨10.1103/PhysRevB.101.224302⟩
- Accès au texte intégral et bibtex
-
- titre
- Formation of voids in selective area growth of InN nanorods in SiN x on GaN templates
- auteur
- Mohammed Zeghouane, Yamina Andre, Geoffrey Avit, Jihen Jridi, Catherine Bougerol, Pierre-Marie Coulon, Pierre Ferret, Dominique Castelluci, Evelyne Gil, Philip Shields, Vladimir G. Dubrovskii, Agnes Trassoudaine
- article
- Nano Futures, 2020, 4 (2), pp.025002. ⟨10.1088/2399-1984/ab8450⟩
- Accès au texte intégral et bibtex
-
- titre
- Origin of the metamagnetic transitions in Y1−Er Fe2(H,D)4.2 compounds
- auteur
- V. Paul-Boncour, O. Isnard, V. Shtender, Y. Skourski, M. Guillot
- article
- Journal of Magnetism and Magnetic Materials, 2020, 512, pp.167018. ⟨10.1016/j.jmmm.2020.167018⟩
- Accès au texte intégral et bibtex
-
- titre
- Assessment of AlGaN/AlN superlattices on GaN nanowires as active region of electron-pumped ultraviolet sources
- auteur
- Ioanna Dimkou, A Harikumar, F. Donatini, J. Lähnemann, M. den Hertog, C. Bougerol, E. Bellet-Amalric, N. Mollard, A. Ajay, G. Ledoux, S. Purcell, E. Monroy
- article
- Nanotechnology, 2020, 31 (20), pp.204001. ⟨10.1088/1361-6528/ab704d⟩
- Accès au texte intégral et bibtex
-
- titre
- Metal–Organic Framework-Derived Synthesis of Cobalt Indium Catalysts for the Hydrogenation of CO 2 to Methanol
- auteur
- Alexey Pustovarenko, Alla Dikhtiarenko, Anastasiya Bavykina, Lieven Gevers, Adrian Ramírez, Artem Russkikh, Selvedin Telalovic, Antonio Aguilar, Jean-Louis F Hazemann, Samy Ould-Chikh, Jorge Gascon
- article
- ACS Catalysis, 2020, 10 (9), pp.5064-5076. ⟨10.1021/acscatal.0c00449⟩
- Accès au bibtex
-
- titre
- Non-auxetic/auxetic transitions inducing modifications of the magnetic anisotropy in CoFe2O4 thin films
- auteur
- E. Martin, F. Roulland, Stéphane Grenier, F. Appert, Jean Juraszek, M. Trassin, C. Bouillet, E. Chikoidze, C. Arnold, B. Berini, Y. Dumont, S. Colis, S. Barre, G. Versini, D. Preziosi, C. Leuvrey, Nils Blanc, N. Boudet, G. Pourroy, N. Viart, C. Lefèvre
- article
- Journal of Alloys and Compounds, 2020, 836, pp.155425. ⟨10.1016/j.jallcom.2020.155425⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetotransport mechanisms and magnetoresistive properties in La0.75Dy0.05Sr0.2MnO3 polycrystalline manganite
- auteur
- A. Elghoul, A. Krichene, Nassira Boudjada, F. Fettar, F. Gay, W. Boujelben
- article
- Journal of Materials Science: Materials in Electronics, 2020, 31 (9), pp.7076-7083. ⟨10.1007/s10854-020-03277-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Galilean boosts and superfluidity of resonantly driven polariton fluids in the presence of an incoherent reservoir
- auteur
- Ivan Amelio, Anna Minguzzi, Maxime Richard, Iacopo Carusotto
- article
- Physical Review Research, 2020, 2 (2), pp.023158. ⟨10.1103/PhysRevResearch.2.023158⟩
- Accès au bibtex
-
- titre
- Structural and magnetic properties of charge-ordered La0.5-xHoxCa0.5MnO3 (0≤x≤0.15)
- auteur
- Souha Dhieb, Akram Krichene, Boudjada Nassira, Wahiba Boujelben
- article
- Journal of Alloys and Compounds, 2020, 823, pp.153728. ⟨10.1016/j.jallcom.2020.153728⟩
- Accès au bibtex
-
- titre
- Ultrafine Fe-Fe2Ti eutectics by directed energy deposition: Insights into microstructure formation based on experimental techniques and phase field modelling
- auteur
- G. Requena, K. Bugelnig, F. Sket, S. Milenkovic, G. Rödler, A. Weisheit, J. Gussone, J. Haubrich, P. Barriobero-Vila, T. Pusztai, L. Gránásy, A. Theofilatos, J.C. da Silva, U. Hecht
- article
- Additive Manufacturing, 2020, 33, pp.101133. ⟨10.1016/j.addma.2020.101133⟩
- Accès au texte intégral et bibtex
-
- titre
- Epitaxial diamond on Ir/ SrTiO3/Si (001): From sequential material characterizations to fabrication of lateral Schottky diodes
- auteur
- Jean-Charles Arnault, K.H. Lee, Julien Delchevalrie, J. Penuelas, L. Mehmel, O. Brinza, S. Temgoua, I. Stenger, J. Letellier, G. Saint-Girons, Romain Bachelet, R. Issaoui, A. Tallaire, J. Achard, J. Barjon, D. Eon, C. Ricolleau, Samuel Saada
- article
- Diamond and Related Materials, 2020, 105, pp.107768. ⟨10.1016/j.diamond.2020.107768⟩
- Accès au texte intégral et bibtex
-
- titre
- Room temperature skyrmions at zero field in exchange bias ultrathin films
- auteur
- K. Gaurav Rana, A. Finco, F. Fabre, S. Chouaieb, A. Haykal, Liliana D. Buda-Prejbeanu, Olivier Fruchart, Simon Le Denmat, Philippe David, M. Belmeguenai, Thibaud Denneulin, R. E. Dunin-Borkowski, Gilles Gaudin, Vincent Jacques, Olivier Boulle
- article
- Physical Review Applied, 2020, 13 (4), pp.044079. ⟨10.1103/PhysRevApplied.13.044079⟩
- Accès au texte intégral et bibtex
-
- titre
- Controlling the shape of a tapered nanowire: lessons from the Burton-Cabrera-Frank model
- auteur
- E. Bellet-Amalric, Régis André, C. Bougerol, M. den Hertog, Ali Jaffal, Joël Cibert
- article
- Nanotechnology, 2020, 31 (27), pp.274004. ⟨10.1088/1361-6528/ab849e⟩
- Accès au texte intégral et bibtex
-
- titre
- 2D hole gas mobility at diamond/insulator interface
- auteur
- G. Daligou, J. Pernot
- article
- Applied Physics Letters, 2020, 116 (16), pp.162105. ⟨10.1063/5.0002768⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence of reaction intermediates in microwave-assisted synthesis of SHG active α-La(IO 3 ) 3 nanocrystals
- auteur
- Sylvain Regny, Yan Suffren, Olivier Leynaud, Isabelle Gautier-Luneau, Géraldine Dantelle
- article
- CrystEngComm, 2020, 22 (14), pp.2517-2525. ⟨10.1039/D0CE00156B⟩
- Accès au texte intégral et bibtex
-
- titre
- Atomic scale insight into the formation, size and location of platinum nanoparticles supported on γ-alumina
- auteur
- Ana Teresa Fialho Batista, Walid Baaziz, Anne-Lise Taleb, Johan Chaniot, Maxime Moreaud, Christèle Legens, Antonio Aguilar-Tapia, Olivier Proux, Jean-Louis F Hazemann, Fabrice Diehl, Céline Chizallet, Anne-Sophie Gay, Ovidiu Ersen, Pascal Raybaud
- article
- ACS Catalysis, 2020, 10 (7), pp.4193-4204. ⟨10.1021/acscatal.0c00042⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical trapping and orientation-resolved spectroscopy of europium-doped nanorods
- auteur
- Aashutosh Kumar, Jeongmo Kim, Khalid Lahlil, Gwénäelle Julié, Síle Nic Chormaic, Jongwook Kim, Thierry Gacoin, Jochen Fick
- article
- Journal of Physics: Photonics, 2020, 2 (2), pp.025007. ⟨10.1088/2515-7647/ab83e3⟩
- Accès au texte intégral et bibtex
-
- titre
- A novel oxalate-based three dimensional polymorphs supramolecular compounds: Synthesis, spectroscopic characterization, magnetic and photocatalytic properties
- auteur
- Rihab Dridi, Saoussen Namouchi Cherni, Farid Fettar, Nassira Chniba-Boudjada, Mohamed Faouzi Zid
- article
- Journal of Molecular Structure, 2020, 1205, pp.127573. ⟨10.1016/j.molstruc.2019.127573⟩
- Accès au texte intégral et bibtex
-
- titre
- MOX fuel microstructural evolution during the VERDON-3 and 4 tests
- auteur
- C. Le Gall, S. Reboul, L. Fayette, T. Blay, I. Zacharie-Aubrun, I. Félines, K. Hanifi, I. Roure, P. Bienvenu, F. Audubert, Y. Pontillon, Jean-Louis F Hazemann
- article
- Journal of Nuclear Materials, 2020, 531, pp.152015. ⟨10.1016/j.jnucmat.2020.152015⟩
- Accès au bibtex
-
- titre
- Design of AlGaN/AlN Dot‐in‐a‐Wire Heterostructures for Electron‐Pumped UV Emitters
- auteur
- Ioanna Dimkou, Anjali A Harikumar, Akhil Ajay, Fabrice Donatini, Edith Bellet-Amalric, Adeline Grenier, Martien den Hertog, Stephen T Purcell, Eva Monroy
- article
- physica status solidi (a), 2020, 217 (7), pp.1900714. ⟨10.1002/pssa.201900714⟩
- Accès au texte intégral et bibtex
-
- titre
- Improved optical fiber tweezers using 3D printed Fresnel lenses
- auteur
- Asa Asadollahbaik, Simon Thiele, Ksenia Weber, Aashutosh Kumar, Johannes Drozella, Alois Herkommer, Harald Giessen, Jochen Fick
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2020, Nanophotonics VIII, 11345 (1134506), ⟨10.1117/12.2559875⟩
- Accès au bibtex
-
- titre
- Role of Underlayer for Efficient Core–Shell InGaN QWs Grown on m-plane GaN Wire Sidewalls
- auteur
- Akanksha Kapoor, Sylvain Finot, Vincent Grenier, Eric Robin, Catherine Bougerol, Joël Bleuse, Gwenolé Jacopin, J. Eymery, Christophe Durand
- article
- ACS Applied Materials & Interfaces, 2020, 12 (16), pp.19092-19101. ⟨10.1021/acsami.9b19314⟩
- Accès au texte intégral et bibtex
-
- titre
- Double-heterodyne probing for ultra-stable laser based on spectral hole burning in a rare-earth doped crystal
- auteur
- N. Galland, N Lučić, S Zhang, H Alvarez-Martinez, R Le Targat, A Ferrier, P Goldner, B Fang, S. Seidelin, Y Le Coq
- article
- Optics Letters, 2020, 45 (7), pp.1930-1933. ⟨10.1364/OL.389833⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental demonstration of random walk by probability chaos using single photons
- auteur
- Makoto Naruse, Martin Berthel, Hirokazu Hori, Aurélien Drezet, Serge Huant
- article
- Applied Physics Express, 2020, 13 (4), pp.042006. ⟨10.35848/1882-0786/ab7bf8⟩
- Accès au texte intégral et bibtex
-
- titre
- Hybrid KTP-plasmonic nanostructures for enhanced nonlinear optics at the nanoscale
- auteur
- Nicolas Chauvet, Maeliss Ethis de Corny, Mathieu Jeannin, Guillaume Laurent, Serge Huant, Thierry Gacoin, Geraldine Dantelle, Gilles Nogues, Guillaume Bachelier
- article
- ACS photonics, 2020, 7 (3), pp.665-672. ⟨10.1021/acsphotonics.9b01484⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum localization and electronic transport in covalently functionalized carbon nanotubes
- auteur
- Ghassen Jemaï, Jouda Jemaa Khabthani, Guy Trambly de Laissardière, Didier Mayou
- article
- Journal of Physics: Condensed Matter, 2020, 32 (11), pp.115301. ⟨10.1088/1361-648X/ab5a2d⟩
- Accès au bibtex
-
- titre
- Analysis of InGaN surfaces after chemical treatments and atomic layer deposition of Al2O3 for uLED applications
- auteur
- Corentin Le Maoult, David Vaufrey, François Martin, Eugénie Martinez, Emmanuel Nolot, Stéphane Cadot, Etienne Gheeraert
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2020, 11280, pp.112801C. ⟨10.1117/12.2544787⟩
- Accès au texte intégral et bibtex
-
- titre
- Fast Forging: A new SPD method to synthesize Mg-based alloys for hydrogen storage
- auteur
- Patricia de Rango, Daniel Fruchart, Valery Aptukov, Nataliya Skryabina
- article
- International Journal of Hydrogen Energy, 2020, 45 (14), pp.7912-7916. ⟨10.1016/j.ijhydene.2019.07.124⟩
- Accès au bibtex
-
- titre
- Peculiarity of a magnetic structure in a quasi-one-dimensional columbite Co 0.4 Ni 0.6 Nb 2 O 6
- auteur
- P. Sarvezuk, J. da Cunha, O. Isnard
- article
- AIP Advances, 2020, 10 (3), pp.035016. ⟨10.1063/1.5130396⟩
- Accès au texte intégral et bibtex
-
- titre
- Guided-mode resonance filter extended-cavity diode laser
- auteur
- Lauren Guillemot, Thomas Oksenhendler, Sylvain Pelloquin, Olivier Gauthier-Lafaye, Antoine Monmayrant, Thierry Chanelière
- article
- Laser Physics, 2020, 30 (3), pp.035802. ⟨10.1088/1555-6611/ab7012⟩
- Accès au bibtex
-
- titre
- Coherent dynamics and mapping of excitons in single-layer MoSe 2 and WSe 2 at the homogeneous limit
- auteur
- Caroline Boule, Diana Vaclavkova, Miroslav Bartos, Karol Nogajewski, Lukas Zdražil, Takashi Taniguchi, Kenji Watanabe, Marek Potemski, Jacek Kasprzak
- article
- Physical Review Materials, 2020, 4 (3), pp.034001. ⟨10.1103/PhysRevMaterials.4.034001⟩
- Accès au texte intégral et bibtex
-
- titre
- H-Terminated Diamond Surface Band Bending Characterization by Angle-Resolved XPS
- auteur
- Gonzalo Alba, David Eon, M. Pilar Villar, Rodrigo Alcántara, Gauthier Chicot, Jesús Cañas, Juliette Letellier, Julien Pernot, Daniel Araujo
- article
- Surfaces, 2020, 3 (1), pp.61-71. ⟨10.3390/surfaces3010007⟩
- Accès au texte intégral et bibtex
-
- titre
- Sintering temperature effect on the magnetic properties of Pr0.67Sr0.33MnO3 manganite
- auteur
- W. Mabrouki, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Applied physics. A, Materials science & processing, 2020, 126 (3), pp.182. ⟨10.1007/s00339-020-3364-4⟩
- Accès au bibtex
-
- titre
- Fission product speciation in the VERDON-3 and VERDON-4 MOX fuels samples
- auteur
- C. Le Gall, S. Reboul, L. Fayette, T. Blay, I. Zacharie-Aubrun, I. Félines, K. Hanifi, I. Roure, P. Bienvenu, F. Audubert, Y. Pontillon, Jean-Louis F Hazemann
- article
- Journal of Nuclear Materials, 2020, 530, pp.151948. ⟨10.1016/j.jnucmat.2019.151948⟩
- Accès au bibtex
-
- titre
- Investigations on compensated ferrimagnetism in the Mn2Co0.5V0.5Al Heusler alloy
- auteur
- R. Gavrea, R. Hirian, O. Isnard, V. Pop, D. Benea
- article
- Solid State Communications, 2020, 309, pp.113812. ⟨10.1016/j.ssc.2020.113812⟩
- Accès au bibtex
-
- titre
- The Energetic Cost of Work Extraction
- auteur
- Juliette Monsel, Marco Fellous-Asiani, Benjamin Huard, Alexia Auffèves
- article
- Physical Review Letters, 2020, 124 (13), pp.130601. ⟨10.1103/PhysRevLett.124.130601⟩
- Accès au texte intégral et bibtex
-
- titre
- High-pressure, high temperature synthesis of a mesoporous α-quartz/bismuth nanowire composite
- auteur
- Yixuan Zhao, Gaël Talbi, Sébastien Clément, Pierre Toulemonde, Thomas Hansen, Martine Cambon, Olivier Cambon, Mickael Beaudhuin, Romain Viennois, Julien Haines
- article
- Solid State Sciences, 2020, 101, pp.106125. ⟨10.1016/j.solidstatesciences.2020.106125⟩
- Accès au texte intégral et bibtex
-
- titre
- Inhomogeneous response of an ion ensemble from mechanical stress
- auteur
- S. Zhang, N. Galland, N. Lučić, R. Le Targat, A. Ferrier, P. Goldner, B. Fang, Y. Le Coq, S. Seidelin
- article
- Physical Review Research, 2020, 2 (1), pp.013306. ⟨10.1103/PhysRevResearch.2.013306⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic phase diagram for Fe 3 − x Mn x BO 5
- auteur
- F. Damay, J. Sottmann, F. Lainé, L. Chaix, M. Poienar, P. Beran, E. Elkaim, F. Fauth, L. Nataf, A. Guesdon, A. Maignan, C. Martin
- article
- Physical Review B, 2020, 101 (9), pp.094418. ⟨10.1103/PhysRevB.101.094418⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of hole-like traps in deep level transient spectroscopy spectra of AlGaN/GaN heterojunctions
- auteur
- Philippe Ferrandis, Matthew Charles, Marc Veillerot, Charlotte Gillot
- article
- Journal of Physics D: Applied Physics, 2020, 53 (18), pp.185105. ⟨10.1088/1361-6463/ab7626⟩
- Accès au texte intégral et bibtex
-
- titre
- Enlarging the magnetocaloric operating window of the Dy 2 NiMnO 6 double perovskite by lanthanum doping
- auteur
- M. Balli, S. Mansouri, P Fournier, S. Jandl, K. Truong, S. Khadechi-Haj Khlifa, Patricia de Rango, D. Fruchart, A. Kedous-Lebouc
- article
- Journal of Physics D: Applied Physics, 2020, 53 (9), pp.095001. ⟨10.1088/1361-6463/ab5a01⟩
- Accès au texte intégral et bibtex
-
- titre
- Schottky Contacts on Polarity-Controlled Vertical ZnO Nanorods
- auteur
- Alex Lord, Vincent Consonni, Thomas Cossuet, Fabrice Donatini, Steve Wilks
- article
- ACS Applied Materials & Interfaces, 2020, 12 (11), pp.13217-13228. ⟨10.1021/acsami.9b23260⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic order suppression and structural characterization of MnNb 2 - x V x O 6 columbites crystallized under extreme pressure conditions
- auteur
- M.L. Hneda, J.B.M. da Cunha, A. Popa, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2020, 496, pp.165907. ⟨10.1016/j.jmmm.2019.165907⟩
- Accès au texte intégral et bibtex
-
- titre
- Helical quantum Hall phase in graphene on SrTiO 3
- auteur
- Louis Veyrat, Corentin Déprez, Alexis Coissard, Xiaoxi Li, Frédéric Gay, Kenji Watanabe, Takashi Taniguchi, Zheng Han, Benjamin Piot, Hermann Sellier, Benjamin Sacépé
- article
- Science, 2020, 367 (6479), pp.781-786. ⟨10.1126/science.aax8201⟩
- Accès au texte intégral et bibtex
-
- titre
- Deriving Born’s Rule from an Inference to the Best Explanation
- auteur
- Alexia Auffèves, Philippe Grangier
- article
- Foundations of Physics, 2020, 50, pp.1781-1793. ⟨10.1007/s10701-020-00326-8⟩
- Accès au texte intégral et bibtex
-
- titre
- The Behavior of H2 in Aqueous Fluids under High Temperature and Pressure
- auteur
- Elena Bazarkina, I-Ming Chou, Alexander Goncharov, Nikolay Akinfiev
- article
- Elements, 2020, 16 (1), pp.33-38. ⟨10.2138/gselements.16.1.33⟩
- Accès au bibtex
-
- titre
- Neutron Diffraction Investigation of the DyFe11Ti Magnetic Structure and Its Spin Reorientations
- auteur
- Olivier Isnard, Eder Kinast
- article
- Engineering, 2020, 6 (2), pp.154-158. ⟨10.1016/j.eng.2019.11.009⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of electron–phonon coupling on the quantum yield of photovoltaic devices
- auteur
- Tahereh Nematiaram, Asghar Asgari, Didier Mayou
- article
- Journal of Chemical Physics, 2020, 152 (4), pp.044109. ⟨10.1063/1.5140323⟩
- Accès au texte intégral et bibtex
-
- titre
- Impacts of laser cooling for low earth orbit observation satellites: An analysis in terms of size, weight and power
- auteur
- Rémi Vicente, Gilles Nogues, Jean-Michel Niot, Thierry Wiertz, Pierre Contini, Arnaud Gardelein
- article
- Cryogenics, 2020, 105, pp.103000. ⟨10.1016/j.cryogenics.2019.103000⟩
- Accès au texte intégral et bibtex
-
- titre
- Ternary antimonides Ln 2Pd9Sb3 (Ln = La, Ce, Nd, Pr, and Sm): Crystal, electronic structure, and magnetic properties
- auteur
- O. Zhak, T. Zdorov, V. Levytskyy, V. Babizhetskyy, C. Zheng, O. Isnard
- article
- Journal of Alloys and Compounds, 2020, 815, pp.152428. ⟨10.1016/j.jallcom.2019.152428⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization of the Growth Conditions for High Quality CH 3 NH 3 PbBr 3 Hybrid Perovskite Single Crystals
- auteur
- Smaïl Amari, Jean-Marie Verilhac, Eric Gros D’aillon, Alain Ibanez, Julien Zaccaro
- article
- Crystal Growth & Design, 2020, 20 (3), pp.1665-1672. ⟨10.1021/acs.cgd.9b01429⟩
- Accès au texte intégral et bibtex
-
- titre
- Correlative investigation of Mg doping in GaN layers grown at different temperatures by atom probe tomography and off-axis electron holography
- auteur
- Lynda Amichi, Isabelle Mouton, Victor Boureau, Enrico Di Russo, Philippe Vennegues, Philippe de Mierry, Adeline Grenier, Pierre-Henri Jouneau, Catherine Bougerol, David Cooper
- article
- Nanotechnology, 2020, 31 (4), pp.045702. ⟨10.1088/1361-6528/ab4a46⟩
- Accès au texte intégral et bibtex
-
- titre
- Li 2 O:Li–Mn–O Disordered Rock‐Salt Nanocomposites as Cathode Prelithiation Additives for High‐Energy Density Li‐Ion Batteries
- auteur
- Maria Diaz‐lopez, Philip Chater, Pierre Bordet, Melanie Freire, Christian Jordy, Oleg Lebedev, Valérie Pralong
- article
- Advanced Energy Materials, 2020, 10 (7), pp.1902788. ⟨10.1002/aenm.201902788⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanoscopic X-ray imaging and quantification of the iron cellular architecture within single fibroblasts of Friedreich's ataxia patients
- auteur
- Bjorn de Samber, Tom Vanden Berghe, Eline Meul, Stephen Bauters, Martin Seyrich, Joél Smet, Boel C de Paepe, Julio Cesar da Silva, Sylvain Bohic, Peter Cloetens, Rudy van Coster, Peter Vandenabeele, Laszlo Vincze
- article
- Journal of Synchrotron Radiation, 2020, 27, pp.185 - 198. ⟨10.1107/S1600577519015510⟩
- Accès au texte intégral et bibtex
-
- titre
- In-situ transmission electron microscopy imaging of aluminum diffusion in germanium nanowires for the fabrication of Sub-10 nm Ge quantum disks
- auteur
- M. A. Luong, Eric Robin, N. Pauc, P. Gentile, M. Sistani, A. Lugstein, M. Spies, B. Fernandez, M. den Hertog
- article
- ACS Applied Nano Materials, 2020, 3 (2), pp.1891-1899. ⟨10.1021/acsanm.9b02564⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural, electrical, dielectric and optical properties of PrCrO3 ortho-chromite
- auteur
- R. Mguedla, A. Ben Jazia Kharrat, M. Saadi, K. Khirouni, Nassira Boudjada, W. Boujelben
- article
- Journal of Alloys and Compounds, 2020, 812, pp.152130. ⟨10.1016/j.jallcom.2019.152130⟩
- Accès au bibtex
-
- titre
- Enhancement of the magnetic and magnetocaloric properties by Na substitution for Ca of La0.8Ca0.2MnO3 manganite prepared via the Pechini-type sol–gel process
- auteur
- S. Choura-Maatar, Muaffaq Nofal, R. M’nassri, W. Cheikhrouhou-Koubaa, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Materials Science: Materials in Electronics, 2020, 31 (2), pp.1634-1645. ⟨10.1007/s10854-019-02680-4⟩
- Accès au bibtex
-
- titre
- Effect of temperature on fast forging process of Mg-Ni samples for fast formation of Mg2Ni for hydrogen storage
- auteur
- Nataliya Skryabina, Valery Aptukov, Patricia de Rango, Daniel Fruchart
- article
- International Journal of Hydrogen Energy, 2020, 45 (4), pp.3008-3015. ⟨10.1016/j.ijhydene.2019.11.157⟩
- Accès au bibtex
-
- titre
- Influence of nonequilibrium phonons on the spin dynamics of a single Cr atom
- auteur
- V. Tiwari, K. Makita, M. Arino, M. Morita, S. Kuroda, H. Boukari, L. Besombes
- article
- Physical Review B, 2020, 101 (3), pp.035305. ⟨10.1103/PhysRevB.101.035305⟩
- Accès au texte intégral et bibtex
-
- titre
- Revisiting the identification of commercial and historical green earth pigments
- auteur
- Agathe Fanost, Alice Gimat, Laurence de Viguerie, Pauline Martinetto, Anne-Claire Giot, Martin Clémancey, Geneviève Blondin, Fabrice Gaslain, Helen Glanville, Philippe Walter, Guillaume Mériguet, Anne-Laure Rollet, Maguy Jaber
- article
- Colloids and Surfaces A: Physicochemical and Engineering Aspects, 2020, 584, pp.124035. ⟨10.1016/j.colsurfa.2019.124035⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic competition in Fe-based germanide and silicide superconductors
- auteur
- P. Villar Arribi, F. Bernardini, L. De’ Medici, Pierre Toulemonde, Sophie Tencé, Andrés Cano
- article
- EPL - Europhysics Letters, 2020, 128 (4), pp.47004. ⟨10.1209/0295-5075/128/47004⟩
- Accès au bibtex
-
- titre
- Pressure-induced incommensurate antiferromagnetic order in a ferromagnetic B-site ordered double-perovskite Lu2NiMnO6
- auteur
- Noriki Terada, Claire Colin, Navid Qureshi, Thomas C Hansen, Kazuyuki Matsubayashi, Yoshiya Uwatoko, Alexei A Belik
- article
- Physical Review B, 2020, 102 (9), pp.094412. ⟨10.1103/physrevb.102.094412⟩
- Accès au texte intégral et bibtex
-
- titre
- Light-induced rotation of dielectric microparticles around an optical nanofiber
- auteur
- Georgiy Tkachenko, Ivan Toftul, Cindy Esporlas, Aili Maimaiti, Fam Le Kien, Viet Giang Truong, Síle Nic Chormaic
- article
- Optica, 2020, 7 (1), pp.59-62. ⟨10.1364/OPTICA.374441⟩
- Accès au texte intégral et bibtex
-
- titre
- Precise control of J eff = 1/2 magnetic properties in Sr 2 IrO 4 epitaxial thin films by variation of strain and thin film thickness
- auteur
- Stephan Geprägs, Björn Erik Skovdal, Monika Scheufele, Matthias Opel, Didier Wermeille, Paul B J Thompson, Alessandro Bombardi, Virginie Simonet, Stéphane Grenier, Pascal Lejay, Gilbert Andre Chahine, Diana Lucia Quintero-Castro, Rudolf Gross, Danny Mannix
- article
- Physical Review B, 2020, 102 (21), pp.214402. ⟨10.1103/PhysRevB.102.214402⟩
- Accès au texte intégral et bibtex
-
- titre
- Autofluorescence-Free In Vivo Imaging Using Polymer-Stabilized Nd 3+ -Doped YAG Nanocrystals
- auteur
- Alexandra Cantarano, Jingke Yao, Marija Matulionyte, José Lifante, Antonio Benayas, Dirk Ortgies, Fiorenzo Vetrone, Alain Ibanez, Corine Gerardin, Daniel Jaque, Geraldine Dantelle
- article
- ACS Applied Materials & Interfaces, 2020, 12 (46), pp.51273-51284. ⟨10.1021/acsami.0c15514⟩
- Accès au texte intégral et bibtex
-
- titre
- Acoustic phonon sideband dynamics during polaron formation in a single quantum dot
- auteur
- Daniel Wigger, Vage Karakhanyan, Christian Schneider, Martin Kamp, Sven Höfling, Paweł Machnikowski, Tilmann Kuhn, Jacek Kasprzak
- article
- Optics Letters, 2020, 45 (4), pp.919. ⟨10.1364/OL.385602⟩
- Accès au texte intégral et bibtex
-
- titre
- Full characterization and modelling of graded interfaces in a high lattice-mismatch axial nanowire heterostructure
- auteur
- D. V. Beznasyuk, P. Stepanov, Jean-Luc Rouvière, F. Glas, M. Verheijen, J. Claudon, Moïra Hocevar
- article
- Physical Review Materials, 2020, 4 (7), pp.074607. ⟨10.1103/PhysRevMaterials.4.074607⟩
- Accès au texte intégral et bibtex
-
- titre
- Come to light: Detailed analysis of thermally treated Phenyl modified Carbon Nitride Polymorphs for bright phosphors in lighting applications
- auteur
- Stefania Porcu, Ignazio Roppolo, Mathieu Salaün, Giorgia Sarais, Simone Barbarossa, Maria Francesca Casula, Carlo Maria Carbonaro, Pier Carlo Ricci
- article
- Applied Surface Science, 2020, 504, pp.144330. ⟨10.1016/j.apsusc.2019.144330⟩
- Accès au texte intégral et bibtex
-
- titre
- Polyol Process Coupled to Cold Plasma as a New and Efficient Nanohydride Processing Method: Nano-Ni 2 H as a Case Study
- auteur
- Sonia Haj-Khlifa, Sophie Nowak, Patricia Beaunier, Patricia de Rango, Michaël Redolfi, Souad Ammar-Merah
- article
- Nanomaterials, 2020, 10 (1), pp.136. ⟨10.3390/nano10010136⟩
- Accès au texte intégral et bibtex
-
- titre
- Three-dimensional measurement of Mg dopant distribution and electrical activity in GaN by correlative atom probe tomography and off-axis electron holography
- auteur
- Lynda Amichi, Isabelle Mouton, Enrico Di Russo, Victor Boureau, Frédéric Barbier, Amélie Dussaigne, Adeline Grenier, Pierre-Henri Jouneau, Catherine Bougerol, David Cooper
- article
- Journal of Applied Physics, 2020, 127 (6), pp.065702. ⟨10.1063/1.5125188⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarization-Controlled Cavity Input-Output Relations
- auteur
- Fuchuan Lei, Jonathan M Ward, Priscila Romagnoli, Síle Nic Chormaic
- article
- Physical Review Letters, 2020, 124 (10), pp.103902. ⟨10.1103/physrevlett.124.103902⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of spark plasma sintering on the interphase exchange coupling in SmCo5+20%Fe hard/soft nanocomposites
- auteur
- R. Hirian, B.V. Neamţu, Annamária Ferenczi, O. Isnard, I. Chicinaş, V. Pop
- article
- Romanian Journal of Physics, 2020, 65, pp.603
- Accès au bibtex
-
- titre
- Origin of the large ferroelectric polarization enhancement under high pressure for multiferroic DyMnO3 studied by polarized and unpolarized neutron diffraction
- auteur
- Noriki Terada, Navid Qureshi, Anne Stunault, Mechthild Enderle, Bachir Ouladdiaf, Claire V Colin, Dmitry D Khalyavin, Pascal Manuel, Fabio Orlandi, Shin Miyahara, Dharmalingam Prabhakaran, Toyotaka Osakabe
- article
- Physical Review B, 2020, 102 (8), pp.085131. ⟨10.1103/physrevb.102.085131⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of eight nonlinear crystals for phase-matched Terahertz second-order difference-frequency generation at room temperature
- auteur
- Cyril Bernerd, Patricia Segonds, Jérôme Debray, Jean-François Roux, Emilie Hérault, Jean-Louis Coutaz, Ichiro Shoji, Hiroaki Minamide, Hiromasa Ito, Dominique Lupinski, Kevin Zawilski, Peter Schunemann, Xinyuan Zhang, Jiyang Wang, Zhanggui Hu, Benoit Boulanger
- article
- Optical Materials Express, 2020, 10 (2), pp.561. ⟨10.1364/OME.383548⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution to the study of fission products release from nuclear fuels in severe accident conditions: effect of the pO 2 on Cs, Mo and Ba speciation
- auteur
- Claire Le Gall, F. Audubert, Jacques Léchelle, Yves Pontillon, Jean-Louis F Hazemann
- article
- EPJ N - Nuclear Sciences & Technologies, 2020, 6, pp.2. ⟨10.1051/epjn/2019058⟩
- Accès au texte intégral et bibtex
-
- titre
- Hyperfine spectroscopy in a quantum-limited spectrometer
- auteur
- Sebastian Probst, Gengli Zhang, Miloš Rančić, Vishal Ranjan, Marianne Le Dantec, Zhonghan Zhang, Bartolo Albanese, Andrin Doll, Ren Bao Liu, John Morton, Thierry Chanelière, Philippe Goldner, Denis Vion, Daniel Esteve, Patrice Bertet
- article
- Magnetic Resonance, 2020, 1 (2), pp.315-330. ⟨10.5194/mr-1-315-2020⟩
- Accès au bibtex
-
- titre
- Relationship between magnetic ordering and gigantic magnetocaloric effect in HoB2 studied by neutron diffraction experiment
- auteur
- Noriki Terada, Kensei Terashima, Pedro Baptista de Castro, Claire Colin, Hiroaki Mamiya, Takafumi D Yamamoto, Hiroyuki Takeya, Osamu Sakai, Yoshihiko Takano, Hideaki Kitazawa
- article
- Physical Review B, 2020, 102 (9), pp.094435. ⟨10.1103/physrevb.102.094435⟩
- Accès au texte intégral et bibtex
-
- titre
- Visuo-haptic virtual exploration of single cell morphology and mechanics based on AFM mapping in fast mode
- auteur
- C. Petit, M. Kechiche, Ioan Alexandru Ivan, Rosario Toscano, V. Bolcato, Emmanuelle Planus, Florence Marchi
- article
- Journal of Micro-Bio Robotics, 2020, 16 (2), pp.147-160. ⟨10.1007/s12213-020-00140-5⟩
- Accès au bibtex
-
- titre
- Twofold advantage of gas bubbling for the advanced solvothermal preparation of efficient YAG:Ce nanophosphors
- auteur
- Alexandra Cantarano, Denis Testemale, Sònia Sousa Nobre, Audrey Potdevin, Rémy Bruyère, Aude Barbara, Jean-Louis F Hazemann, Alain Ibanez, Géraldine Dantelle
- article
- Journal of Materials Chemistry C, 2020, 8, pp.9382-9390. ⟨10.1039/D0TC02347G⟩
- Accès au texte intégral et bibtex
-
- titre
- Giant exchange bias effect in Ruddlesden-Popper oxides SrLaFe0.25+xMn0.25Co0.5−xO4 (x=0,0.25): Role of the cluster glass magnetic phase in a quasi-two-dimensional perovskite
- auteur
- Anusree V. K, Ranjana R Das, P Neenu Lekshmi, Ramchandra Dhal, Claire Colin, P N Santhosh
- article
- Physical Review B, 2020, 102 (13), pp.134405. ⟨10.1103/physrevb.102.134405⟩
- Accès au texte intégral et bibtex
-
- titre
- Decision Making Photonics: Solving Bandit Problems Using Photons
- auteur
- Makoto Naruse, Nicolas Chauvet, Atsushi Uchida, Aurelien Drezet, Guillaume Bachelier, Serge Huant, Hirokazu Hori
- article
- IEEE Journal of Selected Topics in Quantum Electronics, 2020, 26 (1), pp.7700210. ⟨10.1109/jstqe.2019.2929217⟩
- Accès au texte intégral et bibtex
-
- titre
- Precision measurements of electric-field-induced frequency displacements of an ultranarrow optical transition in ions in a solid
- auteur
- S. Zhang, N. Lučić, N. Galland, R. Le Targat, P. Goldner, B. Fang, S. Seidelin, Y. Le Coq
- article
- Applied Physics Letters, 2020, 117 (22), pp.221102. ⟨10.1063/5.0025356⟩
- Accès au texte intégral et bibtex
-
- titre
- Boosting spatial resolution by incorporating periodic boundary conditions into single-distance hard-x-ray phase retrieval
- auteur
- David M Paganin, Vincent Favre-Nicolin, Alessandro Mirone, Alexander Rack, Julie Villanova, Margie P Olbinado, Vincent Fernandez, Julio Cesar da Silva, Daniele Pelliccia
- article
- Journal of Optics, 2020, 22 (11), pp.115607. ⟨10.1088/2040-8986/abbab9⟩
- Accès au texte intégral et bibtex
-
- titre
- Employing non-Markovian effects to improve the performance of a quantum Otto refrigerator
- auteur
- Patrice A Camati, Jonas F G Santos, Roberto M Serra
- article
- Physical Review A, 2020, 102 (1), pp.012217. ⟨10.1103/PhysRevA.102.012217⟩
- Accès au texte intégral et bibtex
-
- titre
- Stabilization of tetragonal zirconia nanocrystallites using an original supercritical-based synthesis route
- auteur
- Aimery Auxéméry, Gilles Philippot, Matthew R. Suchomel, Denis Testemale, Cyril Aymonier
- article
- Chemistry of Materials, 2020, 32 (19), pp.8169-8181. ⟨10.1021/acs.chemmater.0c01550⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of kinetics on the growth of GaN on graphene by plasma-assisted molecular beam epitaxy
- auteur
- M Gruart, N Feldberg, B Gayral, Catherine Bougerol, S Pouget, E Bellet-Amalric, N Garro, A Cros, H Okuno, B Daudin
- article
- Nanotechnology, 2020, 31 (11), pp.115602. ⟨10.1088/1361-6528/ab5c15⟩
- Accès au texte intégral et bibtex
-
- titre
- Coulomb blockade in monolithic and monocrystalline Al-Ge-Al nanowire heterostructures
- auteur
- M. Sistani, J. Delaforce, K. Bharadwaj, M. Luong, J. Nacenta Mendivil, Nicolas Roch, M. den Hertog, R. B. G. Kramer, Olivier Buisson, A. Lugstein, C. Naud
- article
- Applied Physics Letters, 2020, 116 (1), pp.013105. ⟨10.1063/1.5126088⟩
- Accès au texte intégral et bibtex
-
- titre
- High efficiency cascaded third-harmonic generation in a quasi-periodically poled KTiOPO 4 crystal
- auteur
- Augustin Vernay, Lucas Bonnet-Gamard, Veronique Boutou, Sivan Trajtenberg-Mills, Ady Arie, Benoit Boulanger
- article
- OSA Continuum, 2020, 3 (6), pp.1536-1544. ⟨10.1364/OSAC.395084⟩
- Accès au texte intégral et bibtex
-
- titre
- Correlated electro-optical and structural study of electrically tunable nanowire quantum dot emitters
- auteur
- Maria Spies, Akhil Ajay, Eva Monroy, Bruno Gayral, M. den Hertog
- article
- Nano Letters, 2020, 20 (1), pp.314-319. ⟨10.1021/acs.nanolett.9b03858⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical properties in the infrared range of the birefringent α-GeO2 single crystal
- auteur
- Pascale Armand, Patrick Hermet, Jean-Louis Bantignies, Abel Haidoux, David Maurin, Bertrand Ménart, Alexandra Peña, Philippe Papet
- article
- Materials Research Bulletin, 2020, 129, pp.110881. ⟨10.1016/j.materresbull.2020.110881⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrafine eutectic Ti-Fe-based alloys processed by additive manufacturing – A new candidate for high temperature applications
- auteur
- Joachim Gussone, Katrin Bugelnig, Pere Barriobero-Vila, Julio Cesar da Silva, Ulrike Hecht, Christian Dresbach, Federico Sket, Peter Cloetens, Andreas Stark, Norbert Schell, Jan Haubrich, Guillermo Requena
- article
- Applied Materials Today, 2020, 20, pp.100767. ⟨10.1016/j.apmt.2020.100767⟩
- Accès au texte intégral et bibtex
-
- titre
- Development and Experimental Demonstration of Negative First-Order Quasi-Phase Matching in a periodically-poled Rb-doped KTiOPO4 crystal
- auteur
- Yannick Petit, Alexandra Pena, Patricia Segonds, Jérôme Debray, Simon Joly, Andrius Zukauskas, Fredrik Laurell, Valdas Pasiskevicius, Carlota Canalias, Benoit Boulanger
- article
- Optics Letters, 2020, 45 (21), pp.6026-6029. ⟨10.1364/OL.408839⟩
- Accès au texte intégral et bibtex
-
- titre
- Accurate characterization of tip-induced potential using electron interferometry
- auteur
- A. Iordanescu, S. Toussaint, G. Bachelier, S. Fallahi, C. Gardner, M. Manfra, B. Hackens, B. Brun
- article
- Applied Physics Letters, 2020, 117 (19), pp.193101. ⟨10.1063/5.0023698⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparative study of two atomic layer etching processes for GaN
- auteur
- Cédric Mannequin, Christophe Vallée, Katsuhiro Akimoto, Thierry Chevolleau, Christophe Durand, Christian Dussarrat, Takashi Teramoto, Etienne Gheeraert, Henri Mariette
- article
- Journal of Vacuum Science & Technology A, 2020, 38 (3), pp.032602. ⟨10.1116/1.5134130⟩
- Accès au texte intégral et bibtex
-
- titre
- Modeling of Electronic Mobilities in Halide Perovskites: Adiabatic Quantum Localization Scenario
- auteur
- Antoine Lacroix, Guy Trambly de Laissardière, Pascal Quémerais, Jean-Pierre Julien, Didier Mayou
- article
- Physical Review Letters, 2020, 124 (19), pp.196601. ⟨10.1103/PhysRevLett.124.196601⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental and numerical assessment of grain boundary energies in polycrystalline uranium dioxide
- auteur
- A. Ksibi, X. Iltis, E. Bourasseau, D. Drouan, M. Gaudet, A. Germain, Alexandra Pena Revellez, G. Lapertot, J.-P. Brison, R.C. Belin
- article
- Journal of the European Ceramic Society, 2020, 40 (12), pp.4191-4201. ⟨10.1016/j.jeurceramsoc.2020.04.041⟩
- Accès au texte intégral et bibtex
-
- titre
- Generation of cold Rydberg atoms at submicron distances from an optical nanofiber
- auteur
- Krishnapriya Subramonian Rajasree, Tridib Ray, Kristoffer Karlsson, Jesse L Everett, Síle Nic Chormaic
- article
- Physical Review Research, 2020, 2 (1), pp.012038(R). ⟨10.1103/physrevresearch.2.012038⟩
- Accès au texte intégral et bibtex
-
- titre
- Aqueous Synthesis of DNA-Functionalized Near-Infrared AgInS 2 /ZnS Core/Shell Quantum Dots
- auteur
- Annette Delices, Davina Moodelly, Charlotte Hurot, Yanxia Hou, Wai-Li Ling, Christine Saint-Pierre, Didier Gasparutto, Gilles Nogues, Peter Reiss, Kuntheak Kheng
- article
- ACS Applied Materials & Interfaces, 2020, 12 (39), pp.44026-44038. ⟨10.1021/acsami.0c11337⟩
- Accès au texte intégral et bibtex
-
- titre
- Mechanical Tunability of an Ultranarrow Spectral Feature of a Rare-Earth-Doped Crystal via Uniaxial Stress
- auteur
- N. Galland, N. Lučíc, B. Fang, S. Zhang, R. Le Targat, A. Ferrier, P. Goldner, S. Seidelin, Y. Le Coq
- article
- Physical Review Applied, 2020, 13 (4), pp.044022. ⟨10.1103/PhysRevApplied.13.044022⟩
- Accès au texte intégral et bibtex
-
Communication dans un congrès
- titre
- Correlation between micro-structural features and color of nanocrystallized powders of hematite
- auteur
- Morgane Gerardin, Nicolas Holzschuch, Alain Ibanez, Bernard Schmitt, Pauline Martinetto
- article
- AIC 2020 - Association Internationale de la Couleur / Couleur Naturelles - Couleurs Numériques, Nov 2020, Avignon, France. pp.1-7
- Accès au texte intégral et bibtex
-
- titre
- Trous brûlés spectraux pour lasers ultrastables
- auteur
- Bess Fang, Nicolas Galland, Nemanja Lučić, Shuo Zhang, Rodolphe Le Targat, Héctor Alvarez Martinez, Alban Ferrier, Philippe Goldner, Signe Seidelin, Yann Le Coq
- article
- Assemblée Générale de FIRST-TF 2020, Nov 2020, on line, France
- Accès au bibtex
-
- titre
- Negative first-order quasi-phase matching
- auteur
- Yannick Petit, Alexandra Pena Revellez, Patricia Segonds, Jérôme Debray, Simon Joly, Andrius Zukauskas, Fredrik Laurell, Valdas Pasiskevicius, Carlota Canalias, Benoît Boulanger
- article
- Advanced Solid State Lasers, Oct 2020, Washington (virtual), United States. AF2A.5 (2 p.), ⟨10.1364/ASSL.2020.AF2A.5⟩
- Accès au texte intégral et bibtex
-
- titre
- Rare-earth doped crystals for ultra-stable lasers
- auteur
- Bess Fang, Shuo Zhang, Nicolas Galland, Nemanja Lučić, Rodolphe Le Targat, Philippe Goldner, Signe Seidelin, Yann Le Coq
- article
- Rare Earth Ions Workshop (REIW’20), Oct 2020, Delft (on line), Netherlands
- Accès au bibtex
-
- titre
- Synthetic investigation on structure–property relationships in the Ca2−xMnxTi2O6 quadruple perovskite system
- auteur
- Subhransu Subhasis Bhoi, Murielle Legrendre, Céline Goujon, Michaël Josse, Matthew R. Suchomel
- article
- 70th Annual Meeting of the American Crystallographic Association, American Crystallographic Association, Jul 2020, San Diego, United States. pp.a169, ⟨10.1107/S0108767320098311⟩
- Accès au bibtex
-
- titre
- Spectral Hole Burning for Ultra-stable Lasers
- auteur
- Nicolas Galland, Signe Seidelin, Alban Ferrier, Philippe Goldner, Shuo Zhang, Nemanja Lucic, Hector Álvarezmartínez, Roldolphe Le Targat, Bess Fang, Yann Le Coq
- article
- FREQUENCY CONTROL SYMPOSIUM. IEEE INTERNATIONAL JOINT CONFERENCE. 2020. (IFCS-ISAF 2020) (AND INTL SYMPOSIUM ON APPLICATIONS OF FERROELECTRICS), Jul 2020, Keystone (online), United States. pp.449
- Accès au bibtex
-
- titre
- An Interactive micromanipulation station combined to a confocal XRF instrument: proof of concept
- auteur
- David Renahy, Hichem Maradj, Clement Girod, Sabine Douillet, Sebastien Lavandier, Carole Fauquet, Didier Tonneau, Florence Marchi
- article
- 2020 International Conference on Manipulation, Automation and Robotics at Small Scales (MARSS), Jul 2020, Toronto, Canada. ⟨10.1109/MARSS49294.2020.9307924⟩
- Accès au bibtex
-
- titre
- Calculation of THz emission from phase-matched difference-frequency-generation in eight nonlinear crystals from time-domain-spectroscopy data (Conference Presentation)
- auteur
- Benoit Boulanger, Cyril Bernerd, Patricia Segonds, Jérôme Debray, Jean-François Roux, Emilie Hérault, Jean-Louis Coutaz, Ichiro Shoji, Hiroaki Minamide, Hiromasa Ito, Dominique Lupinski, Kevin Zawilski, Peter Schunemann, Xinyuan Zhang, Jiyang Wang
- article
- Nonlinear Frequency Generation and Conversion: Materials and Devices XIX, Feb 2020, San Francisco CA, United States. pp.44, ⟨10.1117/12.2550505⟩
- Accès au bibtex
-
- titre
- Coherent dynamics of resonantly excited excitons in monolayers of transition metal dichalcogenides
- auteur
- Tomasz Jakubczyk, Miroslav Bartos, Lorenzo Scarpelli, Karol Nogajewski, Wolfgang Langbein, Marek Potemski, Jacek Kasprzak
- article
- Ultrafast Phenomena and Nanophotonics XXIV, Feb 2020, San Francisco, United States. pp.47, ⟨10.1117/12.2549229⟩
- Accès au texte intégral et bibtex
-
- titre
- Triple photons
- auteur
- Augustin Vernay, Véronique Boutou, Corinne Félix, Florent Bassignot, Mathieu Chauvet, Kamel Bencheikh, Ariel Levenson, Félix Bussières, Hugo Zbinden, Benoit Boulanger
- article
- Physics of Quantum Electronics, Jan 2020, Snowbird, United States
- Accès au bibtex
-
- titre
- Comparison of several nonlinear crystals for phase-matched nonlinear generation in the TeraHertz range
- auteur
- Cyril Bernerd, Patricia Segonds, Jérôme Debray, Jean-François Roux, Emilie Hérault, Jean-Louis Coutaz, Ichiro Shoji, Hiroaki Minamide, Hiromasa Ito, Dominique Lupinski, Kevin Zawilski, Peter Schunemann, Xinyuan Zhang, Jiyang Wang, Zhanggui Hu, Benoît Boulanger
- article
- Mid-Infrared Coherent Sources, 2020, Washington DC, United States. pp.MTu1C.5, ⟨10.1364/MICS.2020.MTu1C.5⟩
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Characterisation of Semiconductor Nanowires by Electron Beam Induced Microscopy and Cathodoluminescence
- auteur
- Valerio Piazza, Gwenolé Jacopin, Maria Tchernycheva
- article
- Naoki Fukata. Fundamental Properties of Semiconductor Nanowires, Springer, pp.251-288, 2020, 978-981-15-9050-4. ⟨10.1007/978-981-15-9050-4_5⟩
- Accès au texte intégral et bibtex
-
HDR
- titre
- Hydrothermal geochemistry: what can we learn from autoclaves and photons?
- auteur
- Denis Testemale
- article
- Geochemistry. Université Grenoble Alpes, 2020
- Accès au texte intégral et bibtex
-
Rapport
- titre
- Réseau de lithothèques en Auvergne - Rhône-Alpes
- auteur
- Paul Fernandes, Vincent Delvigne, Erwan Vaissié, A. Pasqualini, Michel Piboule, Christophe Tuffery, Céline Bressy-Leandri, Arnaud Kherdouche, Pierre-Antoine Beauvais, Alix Gibaud, Emilie Chalmin, Hélène Salomon, Anne Hauzeur, Bernard Gély, Alain Turq, André Morala, Vanessa Lea, Alain Beeching, Didier Binder, Stéphane Renault, Patricia Guillermin, Hélène Djema, Jean-Pierre Bracco, Jean-François Pasty, Laurent Aubry, Cécile Callou, Céline Martin, Laurence Manolakakis, Arnaud Caillo, Rodrigue Guillon, Antonin Tomasso, M de Parthenay, Juliette Guibert-Cardin, René Liabeuf, Jérémie Liagre, Stéphane Gaillot, Philippe Dessaint, Pascal Tallet, Médard Thiry, Jean-Paul Raynal, Grégor Marchand, Pierre Allard, François-Xavier Le Bourdonnec, Pauline Martinetto, Catherine Dejoie, Philippe Sciau, Pierre Bintz, Lethrosne Harold, Xavier Deparnay, Jocelyn Robbe, Dorian Roche, Laétitia Fénéon, Alexandre Morin, Jacques Léopold Brochier
- article
- [Rapport de recherche] Paléotime; Service régional de l'archéologie Auvergne - Rhône-Alpes. 2020
- Accès au texte intégral et bibtex
-
Thèse
- titre
- Modelling of electronic and transport properties of hybrid perovskites
- auteur
- Antoine Lacroix
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes [2020-..], 2020. English. ⟨NNT : 2020GRALY056⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanocristaux de grenat pour l'éclairage blanc par LED et pour la nanothermométrie
- auteur
- Alexandra Cantarano
- article
- Energie électrique. Université Grenoble Alpes [2020-..], 2020. Français. ⟨NNT : 2020GRALI050⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectroscopic characterization of optically trapped nanoparticles
- auteur
- Aashutosh Kumar
- article
- Optics [physics.optics]. Université Grenoble Alpes [2020-..], 2020. English. ⟨NNT : 2020GRALY046⟩
- Accès au texte intégral et bibtex
-
- titre
- Multipartite causal relations in quantum theory
- auteur
- Julian Wechs
- article
- Quantum Physics [quant-ph]. Université Grenoble Alpes [2020-..], 2020. English. ⟨NNT : 2020GRALY008⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Spatiotemporal electronic spin fluctuations in random nuclear fields in n-CdTe
- auteur
- S. Cronenberger, C Abbas, Denis Scalbert, H. Boukari
- article
- 2020
- Accès au texte intégral et bibtex
-
2019
Article dans une revue
- titre
- Topochemical reduction of the oxygen-deficient Ruddlesden−Popper phase (n =1) La1.85Ca0.15CuO4− δ and electrical properties of the La1.85Ca0.15CuO3.5
- auteur
- Adnene Midouni, Mohamed Ikbal Houchati, Walid Ben Haj Othmen, Nassira Chniba-Boudjada, Ahmed Hichem Hamzaoui
- article
- Arabian Journal of Chemistry, 2019, 12 (8), pp.4377 - 4387. ⟨10.1016/j.arabjc.2016.06.006⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural, magnetic, and insulator-to-metal transitions under pressure in the GaV4S8 Mott insulator: A rich phase diagram up to 14.7 GPa
- auteur
- J. Mokdad, G. Knebel, C. Marin, J.-P. Brison, V. Ta Phuoc, Rodolphe Sopracase, Claire Colin, D. Braithwaite
- article
- Physical Review B, 2019, 100 (24), pp.245101. ⟨10.1103/PhysRevB.100.245101⟩
- Accès au texte intégral et bibtex
-
- titre
- Highly Efficient Dual-Fiber Optical Trapping with 3D Printed Diffractive Fresnel Lenses
- auteur
- Asa Asadollahbaik, Simon Thiele, Ksenia Weber, Aashutosh Kumar, Johannes Drozella, Florian Sterl, Alois Herkommer, Harald Giessen, Jochen Fick
- article
- ACS photonics, 2019, 7 (1), pp.88-97. ⟨10.1021/acsphotonics.9b01024⟩
- Accès au bibtex
-
- titre
- Dispersion relation of the collective excitations in a resonantly driven polariton fluid
- auteur
- Petr Stepanov, Ivan Amelio, Jean-Guy Rousset, Jacqueline Bloch, Aristide Lemaître, Alberto Amo, Anna Minguzzi, Iacopo Carusotto, Maxime Richard
- article
- Nature Communications, 2019, 10, pp.3869. ⟨10.1038/s41467-019-11886-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Long flexible melt-spun Ni–Co–Mn–In ribbons with shape memory effect and caloric perfomances above 300 K
- auteur
- Laureline Porcar, S. Miraglia, F. Porcher, R. Haettel, P. Plaindoux, M. Silveira Reis Perticarrari, H. Dufour, S. Pairis, J. Jarreau, M. Verdier, Daniel Bourgault
- article
- Materialia, 2019, 8, pp.100493. ⟨10.1016/j.mtla.2019.100493⟩
- Accès au bibtex
-
- titre
- Structural and magnetic characterization of the Nd2Fe14B + 10%wt.Fe system subjected to high-energy milling
- auteur
- Glécilla Colombelli de Souza Nunes, Valdecir Biondo, Rafael Franco Ferreira, Lilian Felipe da Silva Tupan, Sabrina Nicolodi, Flávio Francisco Ivashita, Olivier Isnard, Andrea Paesano
- article
- Hyperfine Interactions, 2019, 240 (1), pp.20. ⟨10.1007/s10751-019-1559-x⟩
- Accès au bibtex
-
- titre
- Field-induced double spin spiral in a frustrated chiral magnet
- auteur
- Mahesh Ramakrishnan, Evan Constable, Andres Cano, Maxim Mostovoy, Jonathan White, Namrata Gurung, Enrico Schierle, Sophie de Brion, Claire Colin, Frédéric Gay, Pascal Lejay, Eric Ressouche, Eugen Weschke, Valerio Scagnoli, Rafik Ballou, Virginie Simonet, Urs Staub
- article
- Npj Quantum Materials, 2019, 4 (1), pp.60. ⟨10.1038/s41535-019-0199-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Joule overheating poisons the fractional ac Josephson effect in topological Josephson junctions
- auteur
- Kévin Le Calvez, Louis Veyrat, Frédéric Gay, Philippe Plaindoux, Clemens Winkelmann, Hervé Courtois, Benjamin Sacépé
- article
- Communications Physics, 2019, 2, pp.4. ⟨10.1038/s42005-018-0100-x⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of alloy disorder on Auger recombination in single InGaN/GaN core-shell microrods
- auteur
- W. Liu, G. Rossbach, A. Avramescu, T. Schimpke, H.-J. Lugauer, M. Strassburg, C. Mounir, U. Schwarz, B. Deveaud, Gwenolé Jacopin
- article
- Physical Review B, 2019, 100 (23), pp.235301. ⟨10.1103/PhysRevB.100.235301⟩
- Accès au texte intégral et bibtex
-
- titre
- Activity Descriptors Derived from Comparison of Mo and Fe as Active Metal for Methane Conversion to Aromatics
- auteur
- Ina Vollmer, Samy Ould-Chikh, Antonio Aguilar-Tapia, Guanna Li, Evgeny Pidko, Jean-Louis F Hazemann, Freek Kapteijn, Jorge Gascon
- article
- Journal of the American Chemical Society, 2019, 141 (47), pp.18814-18824. ⟨10.1021/jacs.9b09710⟩
- Accès au bibtex
-
- titre
- Polarisation control for optical nanofibres by imaging through a single lens
- auteur
- Georgiy Tkachenko, Fuchuan Lei, Síle Nic Chormaic
- article
- Journal of Optics, 2019, 21 (12), pp.125604. ⟨10.1088/2040-8986/ab5204⟩
- Accès au bibtex
-
- titre
- Determination of Current Leakage Sites in Diamond p–n Junction
- auteur
- Takuya Murooka, Hitoshi Umezawa, Toshiharu Makino, Masahiko Ogura, Hiromitsu Kato, Satoshi Yamasaki, Takayuki Iwasaki, Julien Pernot, Mutsuko Hatano
- article
- physica status solidi (a), 2019, 216 (21), pp.1900243. ⟨10.1002/pssa.201900243⟩
- Accès au bibtex
-
- titre
- A new antimony-based organic-inorganic hybrid material as electron extraction layer for efficient and stable polymer solar cells
- auteur
- Donia Fredj, Riva Alkarsifi, Florent Pourcin, Xianjie Liu, Nassira Chniba Boudjada, Pascal Pierron, Ali Nourdine, Mohamed Boujelbene, Mats Fahlman, Christine Videlot-Ackermann, Lionel Flandin, Sadok Ben Dkhil, Olivier Margeat, Jörg Ackermann
- article
- ACS Applied Materials & Interfaces, 2019, 11 (47), pp.44820-44828. ⟨10.1021/acsami.9b12409⟩
- Accès au texte intégral et bibtex
-
- titre
- Transmission of high-energy electrons through metal-semiconductor Schottky junctions
- auteur
- Elmer Monteblanco, Fabrice Donatini, Michel Hehn, Daniel Lacour, Y. Lassailly, J. Peretti, N. Rougemaille
- article
- Physical Review B, 2019, 100 (20), pp.205301. ⟨10.1103/PhysRevB.100.205301⟩
- Accès au texte intégral et bibtex
-
- titre
- A hierarchical approach for modeling X-ray beamlines: application to a coherent beamline
- auteur
- Manuel Sanchez del Rio, Rafael Celestre, Mark Glass, Giovanni Pirro, Juan Reyes Herrera, Ray Barrett, Julio Cesar da Silva, Peter Cloetens, Xianbo Shi, Luca Rebuffi
- article
- Journal of Synchrotron Radiation, 2019, 26, pp.1887 - 1901. ⟨10.1107/S160057751901213X⟩
- Accès au texte intégral et bibtex
-
- titre
- Polariton Bose condensation in microcavity in high magnetic fields
- auteur
- V Kochereshko, A Platonov, N Filosofov, P Savvidis, S Tsintzos, Z Hatzopoulos, L. Besombes, H. Mariette
- article
- Journal of Physics: Conference Series, 2019, 1400 (6), pp.066007. ⟨10.1088/1742-6596/1400/6/066007⟩
- Accès au bibtex
-
- titre
- High-pressure, high temperature insertion of bismuth in the siliceous zeolite silicalite-1
- auteur
- Yixuan Zhao, Sébastien Clément, Vasyl Veremeienko, Pierre Toulemonde, Thomas Hansen, Arie van Der Lee, Bruno Alonso, Jérôme Rouquette, Patrick Hermet, Romain Viennois, Julien Haines
- article
- Solid State Sciences, 2019, 97, pp.106001. ⟨10.1016/j.solidstatesciences.2019.106001⟩
- Accès au texte intégral et bibtex
-
- titre
- Room temperature magnetocaloric effect in polycrystalline La0.75Bi0.05Sr0.2MnO3
- auteur
- A. Elghoul, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Applied physics. A, Materials science & processing, 2019, 125 (11), pp.780. ⟨10.1007/s00339-019-3072-0⟩
- Accès au bibtex
-
- titre
- Generation of non-classical light in a photon-number superposition
- auteur
- Juan Loredo, Carlos Antón, Bogdan Reznychenko, C. Millet, Paul Hilaire, Abdelmounaim Harouri, H. Ollivier, N. Somaschi, L. de Santis, A. Lemaitre, O. Krebs, I. Sagnes, L. Lanco, A. Auffèves, Pascale Senellart
- article
- Nature Photonics, 2019, 13 (11), pp.803-808. ⟨10.1038/s41566-019-0506-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Rare earth element partitioning between sulphides and melt: Evidence for Yb2+ and Sm2+ in EH chondrites
- auteur
- N.J. Ingrao, T. Hammouda, M. Boyet, M. Gaborieau, Bertrand N. Moine, I. Vlastélic, Mohamed Ali M.A. Bouhifd, J.-L. Devidal, O. Mathon, D. Testemale, J.-L. Hazemann, O. Proux
- article
- Geochimica et Cosmochimica Acta, 2019, 265, pp.182-197. ⟨10.1016/j.gca.2019.08.036⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of GaN layer crystallization on GaAs(100) using electron cyclotron resonance or glow discharge N2 plasma sources for the nitriding process
- auteur
- H. Mehdi, F. Réveret, Catherine Bougerol, C. Robert-Goumet, P.E. Hoggan, L. Bideux, B. Gruzza, J. Leymarie, G. Monier
- article
- Applied Surface Science, 2019, 495, pp.143586. ⟨10.1016/j.apsusc.2019.143586⟩
- Accès au texte intégral et bibtex
-
- titre
- Mg and In Codoped p-type AlN Nanowires for pn Junction Realization
- auteur
- Alexandra-Madalina Siladie, Gwenolé Jacopin, Ana Cros, Nuria Garro, Eric Robin, Damien Caliste, Pascal Pochet, Fabrice Donatini, Julien Pernot, Bruno Daudin
- article
- Nano Letters, 2019, 19 (12), pp.8357-8364. ⟨10.1021/acs.nanolett.9b01394⟩
- Accès au texte intégral et bibtex
-
- titre
- Scalable chemical synthesis of doped silicon nanowires for energy applications
- auteur
- Olga Burchak, Caroline Keller, Gérard Lapertot, Mathieu Salaun, Julien Danet, Yani Chen, Nedjma Bendiab, Brigitte Pépin-Donat, Christian Lombard, Jérôme Faure-Vincent, Anthony Vignon, David Aradilla, Peter Reiss, Pascale Chenevier
- article
- Nanoscale, 2019, 11 (46), pp.22504-22514. ⟨10.1039/c9nr03749g⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanoscale aluminum plasmonic waveguide with monolithically integrated germanium detector
- auteur
- M. Sistani, M. Bartmann, N. Güsken, R. Oulton, H. Keshmiri, M. Seifner, S. Barth, N. Fukata, M. Luong, M. den Hertog, A. Lugstein
- article
- Applied Physics Letters, 2019, 115 (16), pp.161107. ⟨10.1063/1.5115342⟩
- Accès au texte intégral et bibtex
-
- titre
- High temperature piezoelectric properties of flux-grown α-GeO 2 single crystal
- auteur
- Philippe Papet, Micka Bah, Abel Haidoux, Benoit Ruffle, Bertrand Ménaert, Alexandra Pena Revellez, Jérôme Debray, Pascale Armand
- article
- Journal of Applied Physics, 2019, 126 (14), pp.144102. ⟨10.1063/1.5116026⟩
- Accès au texte intégral et bibtex
-
- titre
- Microscale cristalline rare-earth doped resonators for strain-coupled optomechanics
- auteur
- Jean-François Motte, Nicolas Galland, Jérôme Debray, Alban Ferrier, Philippe Goldner, Nemenja Lučić, Shuo Zhang, Bess Fang, Yann Le Coq, Signe Seidelin
- article
- Journal of Modern Physics, 2019, 10 (11), pp.1342-1352. ⟨10.4236/jmp.2019.1011088⟩
- Accès au texte intégral et bibtex
-
- titre
- Ferroelectricity in the 1 µC cm −2 range induced by canted antiferromagnetism in (LaMn3)Mn4O12
- auteur
- A. Gauzzi, Flavio P. Milton, V Pascotto Gastaldo, M. Verseils, A. Gualdi, D. von Dreifus, Y. Klein, David Garcia, A. J A de Oliveira, P. Bordet, E. Gilioli
- article
- Applied Physics Letters, 2019, 115 (15), pp.152902. ⟨10.1063/1.5108640⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure-activity relationships in metal organic framework derived mesoporous nitrogen-doped carbon containing atomically dispersed iron sites for CO2 electrochemical reduction
- auteur
- Xiaohui Sun, Riming Wang, Samy Ould-Chikh, Dmitrii Osadchii, Guanna Li, Antonio Aguilar, Jean-Louis F Hazemann, Freek Kapteijn, Jorge Gascon
- article
- Journal of Catalysis, 2019, 378, pp.320-330. ⟨10.1016/j.jcat.2019.09.013⟩
- Accès au bibtex
-
- titre
- Electrochemical performance of catalyst couples M/stainless steel 430 (M: Ni, Co, and Cu) for the hydrogen production in KOH electrolyte
- auteur
- A. Amrouche, F. Messaoud, N. Boutarek-Zaourar, P. David, E. Mossang, S. Mansour, M. Slimane, M. Trari
- article
- Journal of Solid State Electrochemistry, 2019, 23 (10), pp.2961-2968. ⟨10.1007/s10008-019-04395-2⟩
- Accès au bibtex
-
- titre
- Raman Laser Switching Induced by Cascaded Light Scattering
- auteur
- Sho Kasumie, Fuchuan Lei, Jonathan Ward, Xuefeng Jiang, Lan Yang, Síle Nic Chormaic
- article
- Laser and Photonics Reviews, 2019, 13 (10), pp.1900138. ⟨10.1002/lpor.201900138⟩
- Accès au bibtex
-
- titre
- A magnetic study of the HoCo12-xFexB6 ferrimagnetic compounds
- auteur
- L.V.B. Diop, O. Isnard
- article
- Journal of Alloys and Compounds, 2019, 804, pp.392-395. ⟨10.1016/j.jallcom.2019.07.030⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical study of the anisotropic erbium spin flip-flop dynamics
- auteur
- B. Car, L. Veissier, A. Louchet-Chauvet, J.-L. Le Gouët, Thierry Chanelière
- article
- Physical Review B, 2019, 100 (16), pp.165107. ⟨10.1103/PhysRevB.100.165107⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic ordering of Ho6Co2Ga-type {Gd, Tb, Dy}6Co2.2Al0.8 and Tb6Co2Al compounds by magnetization and neutron diffraction study
- auteur
- A.V. Morozkin, A.V. Garshev, V.O. Yapaskurt, Jinlei Yao, S. Quezado, S.K. Malik, O. Isnard
- article
- Intermetallics, 2019, 113, pp.106588. ⟨10.1016/j.intermet.2019.106588⟩
- Accès au bibtex
-
- titre
- Violation of bilocality in quantum networks
- auteur
- Cyril Branciard
- article
- Nature Photonics, 2019, 13 (10), pp.662-663. ⟨10.1038/s41566-019-0522-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural, AC conductivity, conduction mechanism and dielectric properties of La0.62Eu0.05Ba0.33Mn0.85Fe0.15O3 ceramic compound
- auteur
- W. Ncib, A. Ben Jazia Kharrat, M. Saadi, K. Khirouni, Nassira Boudjada, W. Boujelben
- article
- Journal of Materials Science: Materials in Electronics, 2019, 30 (20), pp.18391-18404. ⟨10.1007/s10854-019-02193-0⟩
- Accès au bibtex
-
- titre
- Spatially asymmetric transients of propagating exciton-polariton modes in a planar CdZnTe/CdMgTe guiding structure
- auteur
- J. Vondran, F. Spitzer, M. Bayer, I. Akimov, A. Trautmann, M. Reichelt, C. Meier, N. Weber, T. Meier, R. André, H. Mariette
- article
- Physical Review B, 2019, 100 (15), pp.155308. ⟨10.1103/PhysRevB.100.155308⟩
- Accès au texte intégral et bibtex
-
- titre
- High Lateral Breakdown Voltage in Thin Channel AlGaN/GaN High Electron Mobility Transistors on AlN/Sapphire Templates
- auteur
- Idriss Abid, Riad Kabouche, Catherine Bougerol, Julien Pernot, Cédric Masante, Rémi Comyn, Yvon Cordier, F Medjdoub
- article
- Micromachines, 2019, 10 (10), pp.690. ⟨10.3390/mi10100690⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual light-emitting Yb 3+ ,Er 3+ -doped La(IO 3 ) 3 iodate nanocrystals: up-conversion and second harmonic generation
- auteur
- Sylvain Regny, Kévin Bredillet, Jérémy Riporto, Isabelle Gautier-Luneau, Yannick Mugnier, Ronan Le Dantec, Géraldine Dantelle
- article
- MRS Communications, 2019, 9 (4), pp.1221-1226. ⟨10.1557/mrc.2019.137⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental test of local observer independence
- auteur
- Massimiliano Proietti, Alexander Pickston, Francesco Graffitti, Peter Barrow, Dmytro Kundys, Cyril Branciard, Martin Ringbauer, Alessandro Fedrizzi
- article
- Science Advances , 2019, 5 (9), pp.eaaw9832. ⟨10.1126/sciadv.aaw9832⟩
- Accès au texte intégral et bibtex
-
- titre
- Ubiquitous Non-Majorana Zero-Bias Conductance Peaks in Nanowire Devices
- auteur
- J Chen, B D Woods, P Yu, M. Hocevar, D. Car, S.R. Plissard, E. Bakkers, T D Stanescu, S M Frolov
- article
- Physical Review Letters, 2019, 123 (10), pp.107703. ⟨10.1103/PhysRevLett.123.107703⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and magnetic properties of SmCo5+30%α-Fe exchange coupled nanocomposites obtained by mechanical milling
- auteur
- R. Hirian, O. Isnard, V. Pop
- article
- Journal of Optoelectronics and Advanced Materials, 2019
- Accès au bibtex
-
- titre
- Influence of the quasiparticle spectral weight in FeSe on spectroscopic, magnetic, and thermodynamic properties
- auteur
- H. Cercellier, Pierre Rodière, Pierre Toulemonde, C. Marcenat, T. Klein
- article
- Physical Review B, 2019, 100 (10), pp.104516. ⟨10.1103/PhysRevB.100.104516⟩
- Accès au texte intégral et bibtex
-
- titre
- A Generic Model for Quantum Measurements
- auteur
- Alexia Auffèves, Philippe Grangier
- article
- Entropy, 2019, 21 (9), pp.904. ⟨10.3390/e21090904⟩
- Accès au texte intégral et bibtex
-
- titre
- Entangled-photon decision maker
- auteur
- Nicolas Chauvet, David Jegouso, Benoît Boulanger, Hayato Saigo, Kazuya Okamura, Hirokazu Hori, Aurélien Drezet, Serge Huant, Guillaume Bachelier, Makoto Naruse
- article
- Scientific Reports, 2019, 9, pp.12229. ⟨10.1038/s41598-019-48647-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Recyclable Mesoporous Organosilica Nanoparticles Derived from Proline-Valinol Amides for Asymmetric Organocatalysis
- auteur
- Hao Li, Míriam Pérez-Trujillo, Xavier Cattoën, Roser Pleixats
- article
- ACS Sustainable Chemistry & Engineering, 2019, 7 (17), pp.14815-14828. ⟨10.1021/acssuschemeng.9b02838⟩
- Accès au texte intégral et bibtex
-
- titre
- Monolayer Graphene Coating of Intracortical Probes for Long‐Lasting Neural Activity Monitoring
- auteur
- Antoine Bourrier, Polina Shkorbatova, Marco Bonizzato, Elodie Rey, Quentin Barraud, Grégoire Courtine, Riadh Othmen, Valérie Reita, Vincent Bouchiat, Cécile Delacour
- article
- Advanced Healthcare Materials, 2019, 8 (18), pp.1801331. ⟨10.1002/adhm.201801331⟩
- Accès au texte intégral et bibtex
-
- titre
- Turning a Methanation Co Catalyst into an In–Co Methanol Producer
- auteur
- Anastasiya Bavykina, Irina Yarulina, Abdullah Al Abdulghani, Lieven Gevers, Mohamed Nejib Hedhili, Xiaohe Miao, Adrian Ramírez Galilea, Alexey Pustovarenko, Alla Dikhtiarenko, Amandine Cadiau, Antonio Aguilar-Tapia, Jean-Louis F Hazemann, Sergey Kozlov, Samy Oud-Chikh, Luigi Cavallo, Jorge Gascon
- article
- ACS Catalysis, 2019, 9 (8), pp.6910-6918. ⟨10.1021/acscatal.9b01638⟩
- Accès au bibtex
-
- titre
- A Lagrangian formulation for a gravitational analogue of the acoustic radiation force
- auteur
- Pierre-Yves Gires, Jerôme Duplat, Aurélien Drezet, Cédric Poulain
- article
- EPL - Europhysics Letters, 2019, 127 (3), pp.34002. ⟨10.1209/0295-5075/127/34002⟩
- Accès au bibtex
-
- titre
- Structural, magnetic and Mössbauer spectroscopy characterisation of the Fe-15 wt %Si nanocrystalline powder obtained by mechanical alloying and annealing
- auteur
- C.D. Stanciu, J.B. Marimon da Cunha, I. Chicinaş, O. Isnard
- article
- Journal of Alloys and Compounds, 2019, 797, pp.865-873. ⟨10.1016/j.jallcom.2019.05.156⟩
- Accès au bibtex
-
- titre
- A hybrid quantum-classical paradigm to mitigate embedding costs in quantum annealing
- auteur
- Alastair Abbott, Cristian Calude, Michael Dinneen, Richard Hua
- article
- International Journal of Quantum Information, 2019, 17 (05), pp.1950042. ⟨10.1142/S0219749919500424⟩
- Accès au bibtex
-
- titre
- Optical valley Hall effect for highly valley-coherent exciton-polaritons in an atomically thin semiconductor
- auteur
- Nils Lundt, Łukasz Dusanowski, Evgeny Sedov, Petr Stepanov, Mikhail Glazov, Sebastian Klembt, Martin Klaas, Johannes Beierlein, Ying Qin, Sefaattin Tongay, Maxime Richard, Alexey Kavokin, Sven Hofling, Christian Schneider
- article
- Nature Nanotechnology, 2019, 14 (8), pp.770-775. ⟨10.1038/s41565-019-0492-0⟩
- Accès au bibtex
-
- titre
- TiVZrNb Multi-Principal-Element Alloy: Synthesis Optimization, Structural, and Hydrogen Sorption Properties
- auteur
- Jorge Montero, Claudia Livia Zlotea, Gustav Ek, Jean-Claude Crivello, Laetitia Laversenne, Martin Sahlberg
- article
- Molecules, 2019, 24 (15), pp.2799. ⟨10.3390/molecules24152799⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of pressure on the magnetic and structural properties of Fe5SiB2 compound
- auteur
- J. Kaštil, R. Hirian, O. Isnard
- article
- Intermetallics, 2019, 110, pp.106484. ⟨10.1016/j.intermet.2019.106484⟩
- Accès au texte intégral et bibtex
-
- titre
- Single-shot energetic-based estimator for entanglement in a half-parity measurement setup
- auteur
- Cyril Elouard, Alexia Auffèves, Géraldine Haack
- article
- Quantum, 2019, 3, pp.166. ⟨10.22331/q-2019-07-15-166⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of Bias on the Response of GaN Axial p–n Junction Single-Nanowire Photodetectors
- auteur
- Sergi Cuesta, M. Spies, V. Boureau, F. Donatini, Moïra Hocevar, M. den Hertog, E. Monroy
- article
- Nano Letters, 2019, 19 (8), pp.5506-5514. ⟨10.1021/acs.nanolett.9b02040⟩
- Accès au texte intégral et bibtex
-
- titre
- A highly conductive nanostructured PEDOT polymer confined into the mesoporous MIL-100(Fe)
- auteur
- Pablo Salcedo-Abraira, Andrea Santiago-Portillo, Pedro Atienzar, Pierre Bordet, Fabrice Salles, Nathalie Guillou, Erik Elkaim, Hermenegildo Garcia, Sergio Navalon, Patricia Horcajada
- article
- Dalton Transactions, 2019, 48 (26), pp.9807-9817. ⟨10.1039/C9DT00917E⟩
- Accès au bibtex
-
- titre
- Spatiotemporal Spin Noise Spectroscopy
- auteur
- Steeve Cronenberger, Chahine Abbas, Denis Scalbert, H. Boukari
- article
- Physical Review Letters, 2019, 123 (1), pp.017401. ⟨10.1103/PhysRevLett.123.017401⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetism and anomalous apparently inverse Jahn-Teller effect in Sr 2 CrO 4
- auteur
- Justin Jeanneau, Pierre Toulemonde, Gyorgy Remenyi, André Sulpice, Claire Colin, Vivian Nassif, Emmanuelle Suard, Frédéric Gay, Ruben Weht, Manuel Nunez-Regueiro
- article
- EPL - Europhysics Letters, 2019, 127 (2), pp.27002. ⟨10.1209/0295-5075/127/27002⟩
- Accès au bibtex
-
- titre
- Rapid cooling of a strain-coupled oscillator by an optical phase-shift measurement
- auteur
- Signe Seidelin, Yann Le Coq, Klaus Mølmer
- article
- Physical Review A, 2019, 100 (1), pp.013828. ⟨10.1103/PhysRevA.100.013828⟩
- Accès au texte intégral et bibtex
-
- titre
- On the reconstruction of NiMo electrocatalysts by operando spectroscopy
- auteur
- Jeremy Bau, Henrik Haspel, Samy Ould-Chikh, Antonio Aguilar-Tapia, Jean-Louis Hazemann, Hicham Idriss, Kazuhiro Takanabe
- article
- Journal of Materials Chemistry A, 2019, 7 (25), pp.15031-15035. ⟨10.1039/C9TA04494A⟩
- Accès au bibtex
-
- titre
- Role of Ga Surface Diffusion in the Elongation Mechanism and Optical Properties of Catalyst-Free GaN Nanowires Grown by Molecular Beam Epitaxy
- auteur
- Marion Gruart, Gwenolé Jacopin, Bruno Daudin
- article
- Nano Letters, 2019, 19 (7), pp.4250-4256. ⟨10.1021/acs.nanolett.9b00023⟩
- Accès au texte intégral et bibtex
-
- titre
- Si Doping of Vapor–Liquid–Solid GaAs Nanowires: n-Type or p-Type?
- auteur
- Hadi Hijazi, Guillaume Monier, Evelyne Gil, Agnes Trassoudaine, Catherine Bougerol, Christine Leroux, Dominique Castellucci, Christine Robert-Goumet, Philip E. Hoggan, Yamina Andre, Nebile Isik Goktas, Ray Lapierre, Vladimir Dubrovskii
- article
- Nano Letters, 2019, 19 (7), pp.4498-4504. ⟨10.1021/acs.nanolett.9b01308⟩
- Accès au bibtex
-
- titre
- Optical control of an individual Cr spin in a semiconductor quantum dot
- auteur
- L. Besombes, H. Boukari, V. Tiwari, L Lafuente-Sampietro, S. Kuroda, K. Makita
- article
- Semiconductor Science and Technology, 2019, 34 (6), pp.063001. ⟨10.1088/1361-6641/ab13f5⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of ferromagnetic layer thickness on the magnetic properties of Cr/Fe1-xCox bilayers
- auteur
- S. Mican, D. Benea, A. Takacs, Eric Mossang, O. Isnard, V. Pop
- article
- Journal of Optoelectronics and Advanced Materials, 2019, 21 (5-6), pp.407-417
- Accès au bibtex
-
- titre
- The nature and partitioning of invisible gold in the pyrite-fluid system
- auteur
- Gleb S. Pokrovski, Maria M. Kokh, Olivier Proux, Jean-Louis F Hazemann, Elena Bazarkina, Denis Testemale, Céline Escoda, Marie-Christine Boiron, Marc Blanchard, Thierry Aigouy, Sophie Gouy, Philippe de Parseval, Michel Thibaut
- article
- Ore Geology Reviews, 2019, 109, pp.545-563. ⟨10.1016/j.oregeorev.2019.04.024⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of Ti substitution on the critical behavior around the paramagnetic-ferromagnetic phase transition of Pr0.75Bi0.05Sr0.1Ba0.1Mn1-xTixO3 (x=0, 0.02 and 0.04) perovskite compounds
- auteur
- H.E. Sekrafi, A. Ben Jazia Kharrat, Nassira Boudjada, W. Boujelben
- article
- Journal of Alloys and Compounds, 2019, 790, pp.27-35. ⟨10.1016/j.jallcom.2019.03.184⟩
- Accès au bibtex
-
- titre
- Tandem Conversion of CO 2 to Valuable Hydrocarbons in Highly Concentrated Potassium Iron Catalysts
- auteur
- Adrian Ramirez, Samy Ould-Chikh, Lieven Gevers, Abhishek Dutta Chowdhury, Edy Abou-Hamad, Antonio Aguilar-Tapia, Jean-Louis F Hazemann, Nimer Wehbe, Abdullah Al Abdulghani, Sergey Kozlov, Luigi Cavallo, Jorge Gascon
- article
- ChemCatChem, 2019, 11 (12), pp.2879-2886. ⟨10.1002/cctc.201900762⟩
- Accès au bibtex
-
- titre
- Utility of macrophages in an antitumor strategy based on the vectorization of iron oxide nanoparticles
- auteur
- Bastien Dalzon, Mélanie Guidetti, Denis Testemale, Solveig Reymond, Olivier Proux, Julien Vollaire, Véronique Collin-Faure, Isabelle Testard, Daphna Fenel, Catherine Aude-Garcia, Guy Schoehn, Josiane Arnaud, Marie Carrière, Véronique Josserand, Thierry Rabilloud
- article
- Nanoscale, 2019, 11 (19), pp.9341-9352. ⟨10.1039/c8nr03364a⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis of Invar 36 type alloys from elemental and prealloyed powders by mechanical alloying
- auteur
- C. Prică, B. Neamţu, T. Marinca, F. Popa, A. Sechel, O. Isnard, I. Chicinaş
- article
- Powder Metallurgy, 2019, 62 (3), pp.155-161. ⟨10.1080/00325899.2019.1625509⟩
- Accès au bibtex
-
- titre
- Design and implementation of bound-to-quasibound GaN/AlGaN photovoltaic quantum well infrared photodetectors operating in the short wavelength infrared range at room temperature
- auteur
- Piotr Mensz, Ben Dror, Akhil Ajay, Catherine Bougerol, Eva Monroy, Meir Orenstein, Gad Bahir
- article
- Journal of Applied Physics, 2019, 125 (17), pp.174505. ⟨10.1063/1.5079408⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanowire photodetectors based on wurtzite semiconductor heterostructures
- auteur
- Maria Spies, Eva Monroy
- article
- Semiconductor Science and Technology, 2019, 34 (5), pp.053002. ⟨10.1088/1361-6641/ab0cb8⟩
- Accès au bibtex
-
- titre
- Nd 3+ doped Gd 3 Sc 2 Al 3 O 12 nanoparticles: towards efficient nanoprobes for temperature sensing
- auteur
- Géraldine Dantelle, Marija Matulionyte, Denis Testemale, Alexandra Cantarano, Alain Ibanez, Fiorenzo Vetrone
- article
- Physical Chemistry Chemical Physics, 2019, 21 (21), pp.11132-11141. ⟨10.1039/c9cp01808e⟩
- Accès au bibtex
-
- titre
- Magnetic ordering of Lu14Co3In3-type {Gd, Tb}14Ni3Al3 compounds by magnetization heat capacity and neutron diffraction study
- auteur
- A.V. Morozkin, Jinlei Yao, O. Isnard, V.O. Yapaskurt, A.V. Garshev
- article
- Journal of Solid State Chemistry, 2019, 273, pp.199-206. ⟨10.1016/j.jssc.2019.03.006⟩
- Accès au bibtex
-
- titre
- Measurements of expansion of LaNi5 compacted powder during hydrogen absorption/desorption cycles and their influences on the reactor wall
- auteur
- Chaker Briki, Patricia de Rango, Sihem Belkhiria, Mohamed Houcine Dhaou, Abdelmajid Jemni
- article
- International Journal of Hydrogen Energy, 2019, 44 (26), pp.13647-13654. ⟨10.1016/j.ijhydene.2019.04.010⟩
- Accès au bibtex
-
- titre
- Metamagnetic transitions in Y0.5Er0.5Fe2D4.2 deuteride studied by high magnetic field and neutron diffraction experiments
- auteur
- V. Paul-Boncour, O. Isnard, M. Guillot, A. Hoser
- article
- Journal of Magnetism and Magnetic Materials, 2019, 477, pp.356-365. ⟨10.1016/j.jmmm.2019.01.056⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-dose electron diffraction tomography (LD-EDT)
- auteur
- Stéphanie Kodjikian, Holger Klein
- article
- Ultramicroscopy, 2019, 200, pp.12-19. ⟨10.1016/j.ultramic.2019.02.010⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of synthesis routes on normal and inverse magnetocaloric effects and critical behaviour in the charge-ordered Pr0.5Sr0.5MnO3 manganite
- auteur
- A. Sakka, R. M’nassri, S. Tarhouni, W. Cheikhrouhou-Koubaa, Nassira Boudjada, M. Oumezzine, A. Cheikhrouhou
- article
- The European Physical Journal Plus, 2019, 134 (5), pp.216. ⟨10.1140/epjp/i2019-12615-1⟩
- Accès au bibtex
-
- titre
- Influence of static disorder and polaronic band formation on the interfacial electron transfer in organic photovoltaic devices
- auteur
- Kevin-Davis Richler, Didier Mayou
- article
- Physical Review B, 2019, 99 (19), pp.195151. ⟨10.1103/PhysRevB.99.195151⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarity conversion of GaN nanowires grown by plasma-assisted molecular beam epitaxy
- auteur
- Alexandre Concordel, Gwenolé Jacopin, Bruno Gayral, Núria Garro, Ana Cros, Jean-Luc Rouviere, Bruno Daudin
- article
- Applied Physics Letters, 2019, 114 (17), pp.172101. ⟨10.1063/1.5094627⟩
- Accès au texte intégral et bibtex
-
- titre
- Selective growth of ordered hexagonal InN nanorods
- auteur
- Mohammed Zeghouane, Geoffrey Avit, Thomas W. Cornelius, Damien Salomon, Yamina Andre, Catherine Bougerol, Thierry Taliercio, Ariane Meguekam-Sado, Pierre Ferret, Dominique Castelluci, Evelyne Gil, Eric Tournié, Olivier Thomas, Agnès Trassoudaine
- article
- CrystEngComm, 2019, 21 (16), pp.2702-2708. ⟨10.1039/C9CE00161A⟩
- Accès au bibtex
-
- titre
- Experimentally probing the algorithmic randomness and incomputability of quantum randomness
- auteur
- Alastair Abbott, Cristian Calude, Michael Dinneen, Nan Huang
- article
- Physica Scripta, 2019, 94 (4), pp.045103. ⟨10.1088/1402-4896/aaf36a⟩
- Accès au bibtex
-
- titre
- Effect of Sb and Na Incorporation in Cu2ZnSnS4 Solar Cells
- auteur
- Abdul Aziz Suzon, Louis Grenet, Fabrice Emieux, Eric de Vito, Frédéric Roux, Henri Mariette
- article
- physica status solidi (a), 2019, 216 (11), pp.1900070. ⟨10.1002/pssa.201900070⟩
- Accès au texte intégral et bibtex
-
- titre
- Free-fall of photons in a planar optical cavity
- auteur
- Maxime Richard
- article
- Journal of Physics Communications, 2019, 3 (4), pp.045007. ⟨10.1088/2399-6528/ab159a⟩
- Accès au texte intégral et bibtex
-
- titre
- Half-metallic compensated ferrimagnetism in the Mn-Co-V-Al Heusler alloys
- auteur
- D. Benea, R. Gavrea, M. Coldea, O. Isnard, V. Pop
- article
- Journal of Magnetism and Magnetic Materials, 2019, 475, pp.229-233. ⟨10.1016/j.jmmm.2018.11.115⟩
- Accès au bibtex
-
- titre
- Pressure dependence of the magnetic properties and phase diagram of HoCo12B6 ferrimagnetic compound
- auteur
- L.V.B. Diop, Z. Arnold, J. Kamarád, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2019, 476, pp.106-110. ⟨10.1016/j.jmmm.2018.12.042⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of Defects on the Stability and Hydrogen‐Sorption Behavior of Mg‐Based Hydrides
- auteur
- Jasmina Grbović novaković, Nikola Novaković, Sandra Kurko, Sanja Milošević govedarović, Tijana Pantić, Bojana Paskaš mamula, Katarina Batalović, Jana Radaković, Jelena Rmuš, Marina Shelyapina, Nataliya Skryabina, Patricia de Rango, Daniel Fruchart
- article
- ChemPhysChem, 2019, 20 (10), pp.1216-1247. ⟨10.1002/cphc.201801125⟩
- Accès au bibtex
-
- titre
- Thermoelectric Scanning-Gate Interferometry on a Quantum Point Contact
- auteur
- B. Brun, F. Martins, S. Faniel, A. Cavanna, C. Ulysse, A. Ouerghi, U. Gennser, D. Mailly, P. Simon, S. Huant, M. Sanquer, Hermann Sellier, V. Bayot, B. Hackens
- article
- Physical Review Applied, 2019, 11 (3), pp.034069. ⟨10.1103/PhysRevApplied.11.034069⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrical and optical properties of heavily Ge-doped AlGaN
- auteur
- R Blasco, A. Ajay, E. Robin, Catherine Bougerol, K Lorentz, A Alves, I. Mouton, A Amichi, A Grenier, E. Monroy
- article
- Journal of Physics D: Applied Physics, 2019, 52 (12), pp.125101. ⟨10.1088/1361-6463/aafec2⟩
- Accès au bibtex
-
- titre
- Sensitivity of the superconducting state in thin films
- auteur
- I. Tamir, A. Benyamini, E. Telford, F. Gorniaczyk, A. Doron, T. Levinson, D. Wang, F. Gay, Benjamin Sacépé, J. Hone, K. Watanabe, T. Taniguchi, C. Dean, A. Pasupathy, D. Shahar
- article
- Science Advances , 2019, 5 (3), pp.aau3826. ⟨10.1126/sciadv.aau3826⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherence and Density Dynamics of Excitons in a Single-Layer MoS 2 Reaching the Homogeneous Limit
- auteur
- Tomasz Jakubczyk, Goutham Nayak, Lorenzo Scarpelli, Wei-Lai Liu, Sudipta Dubey, Nedjma Bendiab, Laëtitia Marty, Takashi Taniguchi, Kenji Watanabe, Francesco Masia, Gilles Nogues, Johann Coraux, Wolfgang Langbein, Julien Renard, Vincent Bouchiat, Jacek Kasprzak
- article
- ACS Nano, 2019, 13 (3), pp.3500-3511. ⟨10.1021/acsnano.8b09732⟩
- Accès au bibtex
-
- titre
- Shot-Noise-Limited Nanomechanical Detection and Radiation Pressure Backaction from an Electron Beam
- auteur
- S. Pairis, F. Donatini, M. Hocevar, D. Tumanov, N. Vaish, J. Claudon, Jean-Philippe Poizat, P. Verlot
- article
- Physical Review Letters, 2019, 122 (8), pp.083603. ⟨10.1103/PhysRevLett.122.083603⟩
- Accès au texte intégral et bibtex
-
- titre
- Two-Dimensional Electronic Transport in Rubrene: The Impact of Inter-Chain Coupling
- auteur
- Ahmed Missaoui, Jouda Khabthani, Guy Trambly de Laissardière, Didier Mayou
- article
- Entropy, 2019, 21 (3), pp.233. ⟨10.3390/e21030233⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of cobalt addition on the magneto-crystalline anisotropy parameter of sintered NiZn ferrites evaluated from magnetization curves
- auteur
- Gaëlla Frajer, O. Isnard, H. Chazal, G. Delette
- article
- Journal of Magnetism and Magnetic Materials, 2019, 473, pp.92-98. ⟨10.1016/j.jmmm.2018.10.030⟩
- Accès au bibtex
-
- titre
- Structural, electrical, dielectric properties and conduction mechanism of sol-gel prepared Pr0.75Bi0.05Sr0.1Ba0.1Mn0.98Ti0.02O3 compound
- auteur
- H.E. Sekrafi, A. Ben Jazia Kharrat, M.A. Wederni, K. Khirouni, Nassira Boudjada, W. Boujelben
- article
- Materials Research Bulletin, 2019, 111, pp.329-337. ⟨10.1016/j.materresbull.2018.11.041⟩
- Accès au bibtex
-
- titre
- Piezospectroscopic measurement of high-frequency vibrations in a pulse-tube cryostat
- auteur
- Anne Louchet-Chauvet, Rose Ahlefeldt, Thierry Chanelière
- article
- Review of Scientific Instruments, 2019, 90 (3), pp.034901. ⟨10.1063/1.5080086⟩
- Accès au texte intégral et bibtex
-
- titre
- Emergence of quantum correlations from interacting fibre-cavity polaritons
- auteur
- Guillermo Muñoz-Matutano, Andrew Wood, Mattias Johnsson, Xavier Vidal, Ben Baragiola, Andreas Reinhard, Aristide Lemaitre, Jacqueline Bloch, Alberto Amo, Gilles Nogues, Benjamin Besga, Maxime Richard, Thomas Volz
- article
- Nature Materials, 2019, 18 (3), pp.213-218. ⟨10.1038/s41563-019-0281-z⟩
- Accès au bibtex
-
- titre
- Effects of Spatial Dispersion in Symmetric and Asymmetric Semiconductor Quantum Wells
- auteur
- Lyubov Kotova, Alexei Platonov, Vladimir Kats, Sergey Sorokin, Sergey Ivanov, Régis André, Vladislav Bugrov, Vladimir Kochereshko
- article
- physica status solidi (b), 2019, 256 (6), pp.1800665. ⟨10.1002/pssb.201800665⟩
- Accès au bibtex
-
- titre
- Improvement of the critical temperature of NbTiN films on III-nitride substrates
- auteur
- Houssaine Machhadani, Julien Zichi, Catherine Bougerol, Stéphane Lequien, Jean-Luc Thomassin, Nicolas Mollard, Anna Mukhtarova, Val Zwiller, Jean-Michel Gérard, Eva Monroy
- article
- Superconductor Science and Technology, 2019, 32 (3), pp.035008. ⟨10.1088/1361-6668/aaf99d⟩
- Accès au bibtex
-
- titre
- Infrared and Raman spectroscopy of non-conventional hydrogen bonding between N,N'-disubstituted urea and thiourea groups: a combined experimental and theoretical Investigation
- auteur
- Rozenn Le Parc, Vania T Freitas, Patrick Hermet, Ana M Cojocariu, Xavier Cattoen, Hubert Wadepohl, David Maurin, Cheuk Hin Tse, John R Bartlett, Rute a S Ferreira, Luis D Carlos, Michel Wong Chi Man, Jean-Louis Bantignies
- article
- Physical Chemistry Chemical Physics, 2019, 21 (6), pp.3310-3317. ⟨10.1039/c8cp06625f⟩
- Accès au texte intégral et bibtex
-
- titre
- Stabilization of domain structures in Rb-doped KTiOPO 4 for high-temperature processes
- auteur
- Hoda Kianirad, Gustav Lindgren, Alexandra Pena Revellez, Andrius Zukauskas, Bertrand Ménaert, Fredrik Laurell, Benoit Boulanger, Carlota Canalias
- article
- Applied Physics Letters, 2019, 114 (5), pp.052904. ⟨10.1063/1.5082246⟩
- Accès au texte intégral et bibtex
-
- titre
- Unidirectional light transmiission by two-layer nanostructures interacting via optical near-fields
- auteur
- Makoto Naruse, Satoshi Ishii, Jean-François Motte, Aurélien Drezet, Serge Huant, Hirokazu Hori
- article
- Applied Physics Express, 2019, 12 (2), pp.022007. ⟨10.7567/1882-0786/aafca0⟩
- Accès au bibtex
-
- titre
- Epitaxial growth and structure of cobalt ferrite thin films with large inversion parameter on Ag(001)
- auteur
- Maurizio de Santis, Aude Bailly, Ian Coates, Stéphane Grenier, Olivier Heckmann, Karol Hricovini, Yves Joly, Véronique Langlais, Aline y Ramos, Christine Richter, Xavier Torrelles, Stéphanie Garaudée, Olivier Geaymond, Olivier Ulrich
- article
- Acta Crystallographica Section B : Structural Science, Crystal Engineering and Materials [2014-..], 2019, 75 (1), pp.8-17. ⟨10.1107/s2052520618016177⟩
- Accès au texte intégral et bibtex
-
- titre
- The structure of nano-twinned rhombohedral YCuO 2.66 solved by electron crystallography
- auteur
- Holger Klein, V. Ovidiu Garlea, Céline Darie, Pierre Bordet
- article
- Acta Crystallographica Section B : Structural Science, Crystal Engineering and Materials [2014-..], 2019, 75 (1), pp.107-112. ⟨10.1107/S205252061801627X⟩
- Accès au bibtex
-
- titre
- Coordination polymers of zinc(II) and manganese(II) made by complexation of calix[4]arene functionalized with carboxylates afford alveolar materials
- auteur
- Marwa Boutar, Cédric Desroches, Nabila Mattoussi, Mohamed Habib Noamane, Laurence Bois, Isabelle Gautier-Luneau, Rym Abidi, Dominique Luneau
- article
- Inorganica Chimica Acta, 2019, 486, pp.562-567. ⟨10.1016/j.ica.2018.11.001⟩
- Accès au bibtex
-
- titre
- Crossover in the pressure evolution of elementary distortions in RFeO 3 perovskites and its impact on their phase transition
- auteur
- R. Vilarinho, Pierre Bouvier, I. Peral, M. Weber, P. P. Tavares, M. Mihalik, G. Garbarino, M. Mezouar, J. Kreisel, A. Almeida, J. Moreira
- article
- Physical Review B, 2019, 99 (6), pp.064109. ⟨10.1103/PhysRevB.99.064109⟩
- Accès au texte intégral et bibtex
-
- titre
- High-pressure high-temperature synthesis of non-centrosymmetric R3Pt4Ge13 compounds with R = Gd, Dy, Ho, Er and Lu
- auteur
- Christine Opagiste, Rose-Marie Galéra, M. Legendre, C. Goujon, S. Pairis, P. Bordet
- article
- Journal of Alloys and Compounds, 2019, 788, pp.1211-1217. ⟨10.1016/j.jallcom.2019.02.282⟩
- Accès au texte intégral et bibtex
-
- titre
- A site-sensitive quasi-in situ strategy to characterize Mo/HZSM-5 during activation
- auteur
- Ina Vollmer, Nikolay Kosinov, Ágnes Szécsényi, Guanna Li, Irina Yarulina, Edy Abou-Hamad, Andrei Gurinov, Samy Ould-Chikh, Antonio Aguilar-Tapia, Jean-Louis F Hazemann, Evgeny Pidko, Emiel H. J. Hensen, Freek Kapteijn, Jorge Gascon
- article
- Journal of Catalysis, 2019, 370, pp.321-331. ⟨10.1016/j.jcat.2019.01.013⟩
- Accès au bibtex
-
- titre
- In Situ Identification of Spherical Ag Monomers and Dimers at Zeptomole Adsorbate Concentrations by Surface-Enhanced Raman Scattering Correlation Spectroscopy
- auteur
- Aude Barbara, Fabien Dubois, Pascal Quemerais
- article
- ACS Omega, 2019, 4 (1), pp.2283-2290. ⟨10.1021/acsomega.8b03512⟩
- Accès au texte intégral et bibtex
-
- titre
- Compositional control of homogeneous InGaN nanowires with the In content up to 90%
- auteur
- Mohammed Zeghouane, Geoffrey Avit, Yamina Andre, Catherine Bougerol, Yoann Robin, Pierre Ferret, Dominique Castelluci, Evelyne Gil, Vladimir Dubrovskii, Hiroshi Amano, Agnès Trassoudaine
- article
- Nanotechnology, 2019, 30 (4), pp.044001. ⟨10.1088/1361-6528/aaec39⟩
- Accès au bibtex
-
- titre
- Low-Magnetic-Field Regime of a Gate-Defined Constriction in High-Mobility Graphene
- auteur
- Louis Veyrat, Anna Jordan, Katrin Zimmermann, Frédéric Gay, Kenji Watanabe, Takashi Taniguchi, Hermann Sellier, Benjamin Sacépé
- article
- Nano Letters, 2019, 19 (2), pp.635-642. ⟨10.1021/acs.nanolett.8b02584⟩
- Accès au bibtex
-
- titre
- Mercury(II) Binding to Metallothionein in Mytilus edulis revealed by High Energy-Resolution XANES Spectroscopy
- auteur
- Alain Manceau, Paco Bustamante, Ahmed Haouz, Jean Paul Bourdineaud, Maria Gonzalez-Rey, Cyprien Lemouchi, Isabelle Gautier-Luneau, Valérie Geertsen, Elodie Barruet, Mauro Rovezzi, Pieter Glatzel, Serge Pin
- article
- Chemistry - A European Journal, 2019, 25 (4), pp.997-1009. ⟨10.1002/chem.201804209⟩
- Accès au texte intégral et bibtex
-
- titre
- Tungsten Catalyst Incorporating a Well‐Defined Tetracoordinated Aluminum Surface Ligand for Selective Metathesis of Propane, [(≡Si−O−Si≡)(≡Si−O−) 2 Al−O−W(≡C t Bu) (H) 2 ]
- auteur
- Baraa Werghi, Anissa Bendjeriou-Sedjerari, Abdesslem Jedidi, Natalia Morlanes, Edy Abou-Hamad, Kushal Bhatte, Erjia Guan, Tao Ma, Antonio Aguilar-Tapia, Samy Ould-Chikh, Luigi Cavallo, Bruce Gates, Jean‐marie Basset
- article
- ChemCatChem, 2019, 11 (1), pp.614-620. ⟨10.1002/cctc.201801779⟩
- Accès au bibtex
-
- titre
- Colloidal Rare Earth Vanadate Single Crystalline Particles as Ratiometric Luminescent Thermometers
- auteur
- Paulo de Sousa Filho, Juliette Alain, Godefroy Leménager, Eric Larquet, Jochen Fick, Paulo Osvaldo A. Serra, Thierry Gacoin
- article
- Journal of Physical Chemistry C, 2019, 123 (4), pp.2441-2450. ⟨10.1021/acs.jpcc.8b12251⟩
- Accès au bibtex
-
- titre
- Microwave Synthesis and Up-Conversion Properties of SHG-Active α-(La, Er)(IO 3 ) 3 Nanocrystals
- auteur
- Sylvain Regny, Jérémy Riporto, Yannick Mugnier, Ronan Le Dantec, Stéphanie Kodjikian, Sébastien Pairis, Isabelle Gautier-Luneau, Géraldine Dantelle
- article
- Inorganic Chemistry, 2019, 58 (2), pp.1647-1656. ⟨10.1021/acs.inorgchem.8b03208⟩
- Accès au bibtex
-
- titre
- A quantitative study of magnetic interactions between a micro-magnet array and individual magnetic micro-particles by scanning particle force microscopy
- auteur
- S Ponomareva, A. Eduardo Dias, B Royer, H Marelli, J.-F. Motte, D. Givord, Frédéric Dumas-Bouchiat, N. M. Dempsey, Florence Marchi
- article
- Journal of Micromechanics and Microengineering, 2019, 29 (1), pp.015010. ⟨10.1088/1361-6439/aaefd5⟩
- Accès au bibtex
-
- titre
- Charge fluctuations of a Cr atom probed in the optical spectra of a quantum dot
- auteur
- L. Besombes, H. Boukari, V. Tiwari, A. Lafuente-Sampietro, M. Sunaga, K. Makita, S. Kuroda
- article
- Physical Review B, 2019, 99 (3), pp.035309. ⟨10.1103/PhysRevB.99.035309⟩
- Accès au texte intégral et bibtex
-
- titre
- Probing the State of a Mechanical Oscillator with an Ultrastrongly Coupled Quantum Emitter
- auteur
- Cyril Elouard, Benjamin Besga, Alexia Auffèves
- article
- Physical Review Letters, 2019, 122 (1), pp.013602. ⟨10.1103/PhysRevLett.122.013602⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of low titanium concentration on the structural, electrical and dielectric properties of Pr0.75Bi0.05Sr0.1Ba0.1Mn1−xTixO3 (x = 0, 0.04) compounds
- auteur
- H. Sekrafi, A. Ben Jazia Kharrat, A. Wederni, Nassira Boudjada, K. Khirouni, W. Boujelben
- article
- Journal of Materials Science: Materials in Electronics, 2019, 30 (1), pp.876-891. ⟨10.1007/s10854-018-0359-4⟩
- Accès au bibtex
-
- titre
- Crude oils as ore fluids: An experimental in-situ XAS study of gold partitioning between brine and organic fluid from 25 to 250 °C
- auteur
- Lars-S. Crede, Weihua Liu, Katy Evans, Kirsten Rempel, Denis Testemale, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2019, 244, pp.352-365. ⟨10.1016/j.gca.2018.10.007⟩
- Accès au bibtex
-
- titre
- The role of fluorine in hydrothermal mobilization and transportation of Fe, U and REE and the formation of IOCG deposits
- auteur
- Yanlu Xing, Barbara Etschmann, Weihua Liu, Yuan Mei, Yuri Shvarov, Denis Testemale, Andrew Tomkins, Joël Brugger
- article
- Chemical Geology, 2019, 504, pp.158-176. ⟨10.1016/j.chemgeo.2018.11.008⟩
- Accès au bibtex
-
- titre
- Common-Path Optical Coherence Tomography Using the Bessel Beam From Negative Axicon Optical Fiber Tip
- auteur
- Kaushal Vairagi, Amit Kumar Pandey, Pooja Gupta, Rashmi Minz, Umesh Tiwari, Jochen Fick, Samir Mondal
- article
- IEEE Journal of Selected Topics in Quantum Electronics, 2019, 25 (1), pp.7100606. ⟨10.1109/JSTQE.2018.2846023⟩
- Accès au bibtex
-
- titre
- Low-temperature anomaly in disordered superconductors near Bc2 as a vortex-glass property
- auteur
- Benjamin Sacépé, Johanna Seidemann, Frédéric Gay, Kevin Davenport, Andrey Rogachev, Maoz Ovadia, Karen Michaeli, Mikhail Feigel’man
- article
- Nature Physics, 2019, 15 (1), pp.48-53. ⟨10.1038/s41567-018-0294-6⟩
- Accès au bibtex
-
- titre
- Critical behaviour of Pr0.5-xGdxSr0.5MnO3 (0≤x≤0.1) manganite compounds: Correlation between experimental and theoretical considerations
- auteur
- A. Ben Jazia Kharrat, M. Bourouina, Nassira Boudjada, W. Boujelben
- article
- Solid State Sciences, 2019, 87, pp.27-38. ⟨10.1016/j.solidstatesciences.2018.10.019⟩
- Accès au bibtex
-
- titre
- Synthesis, crystal structure, and vibrational and magnetic properties of Co(II) and Hg(II) complexes with an 8-hydroxyquinoline unit
- auteur
- Rim Ben Debabis, Walid Amamou, Nassira Chniba-Boudjada, Fatma Zouari
- article
- Journal of Physics and Chemistry of Solids, 2019, 124, pp.296-304. ⟨10.1016/j.jpcs.2018.09.038⟩
- Accès au bibtex
-
- titre
- Metamagnetic Transitions versus Magnetocrystalline Anisotropy in Two Cobalt Arsenates with 1D Co 2+ Chains
- auteur
- Bastien Leclercq, Houria Kabbour, Françoise Damay, Claire Colin, Alain Pautrat, Ángel M Arévalo-López, Olivier Mentré
- article
- Inorganic Chemistry, 2019, 58 (19), pp.12609-12617. ⟨10.1021/acs.inorgchem.9b01303⟩
- Accès au texte intégral et bibtex
-
- titre
- The iron record of asteroidal processes in carbonaceous chondrites
- auteur
- A. Garenne, P. Beck, G. Montes-Hernandez, L. Bonal, E. Quirico, O. Proux, Jean-Louis F Hazemann
- article
- Meteoritics and Planetary Science, 2019, 54 (11), pp.2652-2665. ⟨10.1111/maps.13377⟩
- Accès au bibtex
-
- titre
- Highly transparent contacts to the 1D hole gas in ultra-scaled Ge/Si core/shell nanowires
- auteur
- Masiar Sistani, Jovian Delaforce, Roman B.G. Kramer, Nicolas Roch, Minh Anh Luong, M. den Hertog, Eric Robin, Jürgen Smoliner, Jun Yao, Charles M Lieber, Cécile Naud, Alois Lugstein, Olivier Buisson
- article
- ACS Nano, 2019, 13 (12), pp.14145-14151. ⟨10.1021/acsnano.9b06809⟩
- Accès au texte intégral et bibtex
-
- titre
- Cathodoluminescence enhancement and quenching in type-I van der Waals heterostructures: Cleanliness of the interfaces and defect creation
- auteur
- G. Nayak, S. Lisi, W.-L. Liu, T. Jakubczyk, P. Stepanov, F. Donatini, K. Watanabe, T. Taniguchi, A Bid, J. Kasprzak, Maxime Richard, V. Bouchiat, Johann Coraux, Läetitia Marty, N. Bendiab, J. Renard
- article
- Physical Review Materials, 2019, 3 (11), pp.114001. ⟨10.1103/PhysRevMaterials.3.114001⟩
- Accès au texte intégral et bibtex
-
- titre
- Focus issue introduction: advanced solid-state lasers
- auteur
- Benoît Boulanger, Gregory Goodno, Shibin Jiang, Sergey Mirov, Johan Nilsson, Alan Petersen, Stefano Taccheo
- article
- Optics Express, 2019, 27 (15), pp.20938-20941. ⟨10.1364/OE.27.020938⟩
- Accès au texte intégral et bibtex
-
- titre
- Sub-ppm level high energy resolution fluorescence detected X-ray absorption spectroscopy of selenium in articular cartilage
- auteur
- Caroline Bissardon, Olivier Proux, Sarah Bureau, Elke Suess, Lenny Winkel, Steve Conlan, Lewis Francis, Ilyas Khan, Laurent Charlet, Jean-Louis Hazemann, Sylvain Bohic
- article
- Analyst, 2019, 144 (11), pp.3488-3493. ⟨10.1039/C9AN00207C⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of the Structure of the Modulated Doubly Ordered Perovskite NaLaCoWO 6 and Its Reversible Phase Transition with a Colossal Temperature Hysteresis
- auteur
- Peng Zuo, Céline Darie, Claire Colin, Holger Klein
- article
- Inorganic Chemistry, 2019, 58 (1), pp.81-92. ⟨10.1021/acs.inorgchem.8b01129⟩
- Accès au bibtex
-
- titre
- Correlation Between Electromigration-Related Void Volumes and Time-to-Failure by High Resolution X-Ray Tomography and Modeling
- auteur
- Stéphane Moreau, Alexandra Fraczkiewicz, David Bouchu, Pierre Bleuet, Peter Cloetens, Julio Cesar da Silva, Hervé Manzanarez, Fréderic Lorut, Sandrine Lhostis
- article
- IEEE Electron Device Letters, 2019, 40 (11), pp.1808-1811. ⟨10.1109/LED.2019.2945089⟩
- Accès au texte intégral et bibtex
-
- titre
- Three-phase metal-insulator transition and structural alternative for a VO2 film epitaxially grown on Al2O3(0001)
- auteur
- Aude Bailly, Stéphane Grenier, Michelle M. Villamayor, Michael Gaudin, Aline Y. Ramos, Pierre Bouvier, Christophe Bouchard, Laurence Magaud, Laetitia Laversenne, Bernard Mongellaz, Edith Bellet-Amalric, Ana Lacoste, Alexandre Bès
- article
- Journal of Applied Physics, 2019, 126 (16), pp.165306. ⟨10.1063/1.5113771⟩
- Accès au texte intégral et bibtex
-
- titre
- The Path of Gallium from Chemical Bath into ZnO Nanowires: Mechanisms of Formation and Incorporation
- auteur
- Pierre Gaffuri, Estelle Appert, Odette Chaix-Pluchery, Laetitia Rapenne, M. Salaün, Vincent Consonni
- article
- Inorganic Chemistry, 2019, 58 (15), pp.10269-10279. ⟨10.1021/acs.inorgchem.9b01413⟩
- Accès au texte intégral et bibtex
-
- titre
- On the definition and characterisation of multipartite causal (non)separability
- auteur
- Julian Wechs, Alastair A. Abbott, Cyril Branciard
- article
- New Journal of Physics, 2019, 21 (1), pp.013027. ⟨10.1088/1367-2630/aaf352⟩
- Accès au texte intégral et bibtex
-
- titre
- Measurement of f orbital hybridization in rare earths through electric dipole-octupole interference in X-ray Absorption Spectroscopy
- auteur
- Amélie Juhin, Stephen F. Collins, Yves Joly, Maria Diaz-Lopez, Kristina Kvashnina, Pieter Glatzel, Christian Brouder, Frank de Groot
- article
- Physical Review Materials, 2019, 3 (12), pp.120801(R). ⟨10.1103/PhysRevMaterials.3.120801⟩
- Accès au texte intégral et bibtex
-
- titre
- High-temperature structure of Co 3 O 4 : Understanding spinel inversion using in situ and ex situ measurements
- auteur
- Taylor Sparks, Aleksander Gurlo, Maged Bekheet, Michael Gaultois, Gennady Cherkashinin, L. Laversenne, David Clarke
- article
- Physical Review B, 2019, 99 (10), pp.104104. ⟨10.1103/PhysRevB.99.104104⟩
- Accès au texte intégral et bibtex
-
- titre
- Porphyrin‐based bridged silsesquioxane nanoparticles for targeted two‐photon photodynamic therapy of zebrafish xenografted with human tumor
- auteur
- Soraya Dib, Dina Aggad, Chiara Mauriello Jimenez, Ahmed Lakrafi, Guillaume Héry, Christophe Nguyen, Denis Durand, Alain Morère, Khaled El Cheikh, Vincent Sol, Vincent Chaleix, Sofia Dominguez Gil, Karim Bouchmella, Laurence Raehm, Jean‐olivier Durand, Makhlouf Boufatit, Xavier Cattoën, Michel Wong Chi Man, Nadir Bettache, Magali Gary‐bobo
- article
- Cancer reports, 2019, 2 (5), pp.e1186. ⟨10.1002/cnr2.1186⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure and Magnetic Properties of Bulk Synthesized Mn2−xFexP1−ySiy Compounds from Magnetization, 57Fe Mössbauer Spectroscopy, and Electronic Structure Calculations
- auteur
- Daniel Fruchart, Sonia Haj-Khlifa, Patricia de Rango, Mohamed Balli, Ryszard Zach, Wieslaw Chajec, Piotr Fornal, Jan Stanek, Stanislaw Kaprzyk, Jan Tobola
- article
- Crystals, 2019, 9 (1), pp.37. ⟨10.3390/cryst9010037⟩
- Accès au texte intégral et bibtex
-
- titre
- Lorentz-invariant, retrocausal, and deterministic hidden variables
- auteur
- Aurélien Drezet
- article
- Foundations of Physics, 2019, 49 (10), pp.1166-1199. ⟨10.1007/s10701-019-00297-5⟩
- Accès au bibtex
-
- titre
- Tuning competing magnetic interactions with pressure in RMn2O5 multiferroics
- auteur
- W. Peng, V. Balédent, Claire Colin, T. Hansen, M. Greenblatt, P. Foury-Leylekian
- article
- Physical Review B, 2019, 99 (24), pp.245109. ⟨10.1103/PhysRevB.99.245109⟩
- Accès au texte intégral et bibtex
-
- titre
- Two-pulse photon echo area theorem in an optically dense medium
- auteur
- R. Urmancheev, K. Gerasimov, M. Minnegaliev, T. Chanelière, A. Louchet-Chauvet, S. S Moiseev
- article
- Optics Express, 2019, 27 (20), pp.28983-28997. ⟨10.1364/OE.27.028983⟩
- Accès au texte intégral et bibtex
-
- titre
- Origins of optical defects in rapidly grown DKDP crystals
- auteur
- Juliette Piquard, Julien Zaccaro, Bruno Pintault, Cédric Maunier, Alain Ibanez
- article
- CrystEngComm, 2019, 21 (2), pp.372-378. ⟨10.1039/C8CE01693C⟩
- Accès au bibtex
-
- titre
- Probing the light hole / heavy hole switching with correlated magneto-optical spectroscopy and chemical analysis on a single quantum dot
- auteur
- Alberto Artioli, Pamela Rueda-Fonseca, Kimon Moratis, Jean-François Motte, Fabrice Donatini, Martien I den Hertog, Eric Robin, Régis André, Yann-Michel Niquet, Edith Bellet-Amalric, Joel Cibert, David Ferrand
- article
- Nanotechnology, 2019, 30 (17), pp.175301. ⟨10.1088/1361-6528/aaff17⟩
- Accès au texte intégral et bibtex
-
- titre
- Interface disorder in large single- and multi-shell upconverting nanocrystals
- auteur
- Damien Hudry, Radian Popescu, Dmitry Busko, Maria Diaz-Lopez, Milinda Abeykoon, Pierre Bordet, Dagmar Gerthsen, Ian Howard, Bryce Richards
- article
- Journal of Materials Chemistry C, 2019, 7 (5), pp.1164-1172. ⟨10.1039/C8TC05130E⟩
- Accès au bibtex
-
- titre
- Super-quadratic upconversion luminescence among lanthanide ions
- auteur
- Irene Carrasco, L. Laversenne, Stefano Bigotta, Alessandra Toncelli, Mauro Tonelli, Alexander Zagumennyi, Markus Pollnau
- article
- Optics Express, 2019, 27 (23), pp.33217-33232. ⟨10.1364/OE.27.033217⟩
- Accès au texte intégral et bibtex
-
- titre
- About Wigner Friend’s and Hardy’s paradox in a Bohmian approach: a comment of “Quantum theory cannot consistently describe the use of itself”
- auteur
- Aurélien Drezet
- article
- International journal of quantum foundations, 2019, 5 (2), pp.80 - 97
- Accès au texte intégral et bibtex
-
- titre
- Overcoming the challenges of high-energy X-ray ptychography
- auteur
- Julio Cesar da Silva, Cyril Guilloud, Olivier Hignette, Christophe Jarnias, Cyril Ponchut, Marie Ruat, Jean-Claude Labiche, Alexandra Pacureanu, Yang Yang, Murielle Salomé, Sylvain Bohic, Peter Cloetens
- article
- Journal of Synchrotron Radiation, 2019, 26 (5), pp.1751-1762. ⟨10.1107/S1600577519006301⟩
- Accès au texte intégral et bibtex
-
- titre
- In Situ Transmission Electron Microscopy Analysis of Copper–Germanium Nanowire Solid-State Reaction
- auteur
- Khalil El Hajraoui, Eric Robin, Clemens Zeiner, Alois Lugstein, Stéphanie Kodjikian, Jean-Luc Rouviere, Martien den Hertog
- article
- Nano Letters, 2019, 19 (12), pp.8365-8371. ⟨10.1021/acs.nanolett.9b01797⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic and magnetocaloric properties of La0.55Bi0.05Sr0.4CoO3 and their implementation in critical behaviour study and spontaneous magnetization estimation
- auteur
- F Saadaoui, Muaffaq M. Nofal, R M'Nassri, M Koubaa, Nassira Boudjada, A Cheikhrouhou
- article
- RSC Advances, 2019, 9 (43), pp.25064 - 25074. ⟨10.1039/c9ra04141a⟩
- Accès au texte intégral et bibtex
-
- titre
- TiO2-supported Pt single atoms by surface organometallic chemistry for photocatalytic hydrogen evolution
- auteur
- Gabriel Jeantelot, Muhammad Qureshi, Moussab Harb, Samy Ould-Chikh, Dalaver Anjum, Edy Abou-Hamad, Antonio Aguilar-Tapia, Jean-Louis Hazemann, Kazuhiro Takanabe, Jean-Marie Basset
- article
- Physical Chemistry Chemical Physics, 2019, 21 (44), pp.24429-24440. ⟨10.1039/C9CP04470A⟩
- Accès au bibtex
-
- titre
- Microstructure Optimization of Mg-Alloys by the ECAP Process Including Numerical Simulation, SPD Treatments, Characterization, and Hydrogen Sorption Properties
- auteur
- Nataliya Skryabina, Valery Aptukov, Petr Romanov, Daniel Fruchart, Patricia de Rango, Grégory Girard, Carlos Grandini, Hugo R.Z. Sandim, Jacques Huot, Julien Lang, Rosario Cantelli, Fabrice Leardini
- article
- Molecules, 2019, 24 (1), pp.89. ⟨10.3390/molecules24010089⟩
- Accès au texte intégral et bibtex
-
- titre
- The role of cysteine and sulfide in the interplay between microbial Hg(II) uptake and sulfur metabolism
- auteur
- Sara A. Thomas, Patrice Catty, Jean-Louis F Hazemann, Isabelle Michaud-Soret, Jean-François Gaillard
- article
- Metallomics, 2019, 11 (7), pp.1219-1229. ⟨10.1039/c9mt00077a⟩
- Accès au texte intégral et bibtex
-
- titre
- Diamond power devices: state of the art, modelling, figures of merit and future perspective
- auteur
- Nazareno Donato, Nicolas C. Rouger, Julien Pernot, Giorgia Longobardi, Florin Udrea
- article
- Journal of Physics D: Applied Physics, 2019, 53 (9), pp.093001. ⟨10.1088/1361-6463/ab4eab⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetogyrotropic reflection from quantum wells induced by bulk inversion asymmetry
- auteur
- L. Kotova, V. Kats, A. Platonov, V. Kochereshko, R. André, L. Golub
- article
- Physical Review B, 2019, 99 (3), pp.035302. ⟨10.1103/PhysRevB.99.035302⟩
- Accès au texte intégral et bibtex
-
- titre
- Simple, narrow, and robust atomic frequency reference at 993 nm exploiting the rubidium (Rb) 5S 1/2 to 6S 1/2 transition using one-color two-photon excitation
- auteur
- T. Nieddu, T. Ray, K S Rajasree, R. Roy, S. Nic Chormaic
- article
- Optics Express, 2019, 27 (5), pp.6528. ⟨10.1364/OE.27.006528⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural, magnetic, electrical and dielectric properties of Pr 0.8 Na 0.2 MnO 3 manganite
- auteur
- I. Ouni, H. Ben Khlifa, R. M'Nassri, Muaffaq M Nofal, H. Rahmouni, W. Cheikhrouhou, Nassira Boudjada, K. Khirouni, A. Cheikhrouhou
- article
- RSC Advances, 2019, 9 (61), pp.35599-35607. ⟨10.1039/C9RA05414F⟩
- Accès au texte intégral et bibtex
-
- titre
- Spin decoupling under a staggered field in the Gd2Ir2O7 pyrochlore
- auteur
- E. Lefrançois, L. Mangin-Thro, Elsa Lhotel, J. Robert, S. Petit, V. Cathelin, E. Fischer, Claire Colin, F. Damay, J. Ollivier, P. Lejay, L. Chapon, V. Simonet, R. Ballou
- article
- Physical Review B, 2019, 99 (6), pp.060401. ⟨10.1103/PhysRevB.99.060401⟩
- Accès au texte intégral et bibtex
-
- titre
- Vacancy-type defects in GaN self-assembled nanowires probed using monoenergetic positron beam
- auteur
- Akira Uedono, Alexandra-Madalina Siladie, Julien Pernot, Bruno Daudin, Shoji Ishibashi
- article
- Journal of Applied Physics, 2019, 125 (17), pp.175705. ⟨10.1063/1.5088653⟩
- Accès au texte intégral et bibtex
-
- titre
- Resonance fluorescence of a single semiconductor quantum dot : the impact of a fluctuating electrostatic environment
- auteur
- Antoine Reigue, Richard Hostein, Valia Voliotis
- article
- Semiconductor Science and Technology, 2019, 34 (11), pp.113001. ⟨10.1088/1361-6641/ab4362⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic entropy table-like shape and enhancement of refrigerant capacity in La1.4Ca1.6 Mn2O7 –La1.3Eu0.1Ca1.6Mn2O7 composite
- auteur
- R. M'Nassri, Muaffaq Nofal, Patricia de Rango, Nassira Boudjada
- article
- RSC Advances, 2019, 9 (26), pp.14916-14927. ⟨10.1039/c9ra00984a⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental test of an entropic measurement uncertainty relation for arbitrary qubit observables
- auteur
- Bülent Demirel, Stephan Sponar, Alastair A. Abbott, Cyril Branciard, Yuji Hasegawa
- article
- New Journal of Physics, 2019, 21, pp.013038. ⟨10.1088/1367-2630/aafeeb⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase transformations in Fe–Cr–Mn alloys for magnetocaloric applications
- auteur
- X. Hai, Charlotte Mayer, Sophie Tencé, Salvatore Miraglia
- article
- Journal of Solid State Chemistry, 2019, 277, pp.680-685. ⟨10.1016/j.jssc.2019.07.035⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherence effects in the performance of the quantum Otto heat engine
- auteur
- Patrice A Camati, Jonas F G Santos, Roberto M Serra
- article
- Physical Review A, 2019, 99 (6), pp.062103. ⟨10.1103/PhysRevA.99.062103⟩
- Accès au texte intégral et bibtex
-
- titre
- Novel geometry for X-Ray diffraction mammary imaging: experimental validation on a breast phantom
- auteur
- Vera Feldman, Joachim Tabary, Caroline Paulus, Jean-Louis F Hazemann
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2019, 10948, pp.109485O. ⟨10.1117/12.2511460⟩
- Accès au texte intégral et bibtex
-
- titre
- Focus issue introduction: advanced solid-state lasers
- auteur
- Benoît Boulanger, Gregory Goodno, Shibin Jiang, Sergey Mirov, Johan Nilsson, Alan Petersen, Stefano Taccheo
- article
- Optical Materials Express, 2019, 9 (8), pp.3306-3309. ⟨10.1364/OME.9.003306⟩
- Accès au texte intégral et bibtex
-
- titre
- High Resolution 3D and 4D Characterization of Microstructure Formation in Novel Ti Alloys for Additive Manufacturing
- auteur
- G Requena, Pere Barriobero-Vila, Katrin Bugelnig, Jan Haubrich, Joachim Gussone, Ulrike Hecht, Federico Sket, Julio Cesar da Silva, Julie Villanova
- article
- Microscopy and Microanalysis, 2019, 25, pp.384 - 385. ⟨10.1017/s1431927619002654⟩
- Accès au texte intégral et bibtex
-
- titre
- Anomalous Weak Values Without Post-Selection
- auteur
- Alastair A. Abbott, Ralph Silva, Julian Wechs, Nicolas Brunner, Cyril Branciard
- article
- Quantum, 2019, 3, pp.194. ⟨10.22331/q-2019-10-14-194⟩
- Accès au texte intégral et bibtex
-
- titre
- Catalytic consequences of ultrafine Pt clusters supported on SrTiO3 for photocatalytic overall water splitting
- auteur
- Muhammad Iqbal Qureshi, Angel T Garcia-Esparza, Gabriel Jeantelot, Samy Ould-Chikh, Antonio Aguilar-Tapia, Jean-Louis Hazemann, Jean-Marie Basset, David Loffreda, Tangui Le Bahers, Kazuhiro Takanabe
- article
- Journal of Catalysis, 2019, 376, pp.180-190. ⟨10.1016/j.jcat.2019.06.045⟩
- Accès au texte intégral et bibtex
-
- titre
- In Situ Transmission Electron Microscopy Analysis of Aluminum–Germanium Nanowire Solid-State Reaction
- auteur
- Khalil El Hajraoui, Minh Anh Luong, Eric Robin, Florian Brunbauer, Clemens Zeiner, Alois Lugstein, Pascal Gentile, Jean-Luc Rouvière, M. den Hertog
- article
- Nano Letters, 2019, 19 (5), pp.2897-2904. ⟨10.1021/acs.nanolett.8b05171⟩
- Accès au texte intégral et bibtex
-
- titre
- Fast domain wall motion governed by topology and OErsted fields in cylindrical magnetic nanowires
- auteur
- M. Schöbitz, A. de Riz, S. Martin, S. Bochmann, C. Thirion, J. Vogel, M. Foerster, L. Aballe, T. O. O Menteş, A. Locatelli, F. Genuzio, S. Le Denmat, L. Cagnon, J.-C. Toussaint, D. Gusakova, J. Bachmann, Olivier Fruchart
- article
- Physical Review Letters, 2019, 123 (21), pp.217201. ⟨10.1103/PhysRevLett.123.217201⟩
- Accès au texte intégral et bibtex
-
- titre
- Disentangling the Degradation Pathways of Highly Defective PtNi/C Nanostructures – An Operando Wide and Small Angle X-Ray Scattering Study
- auteur
- Tristan Asset, Cedric Gommes, Jakub Drnec, Pierre Bordet, Raphaël Chattot, Isaac Martens, Jaysen Nelayah, Nathalie Job, Frédéric Maillard, Laetitia Dubau
- article
- ACS Catalysis, 2019, 9 (1), pp.160-167. ⟨10.1021/acscatal.8b02665⟩
- Accès au bibtex
-
- titre
- Excitation of whispering gallery modes with a “point-and-play,” fiber-based, optical nano-antenna
- auteur
- Jonathan M. Ward, Fuchuan Lei, Stephy Vincent, Pooja Gupta, Samir Mondal, Jochen Fick, Síle Nic Chormaic
- article
- Optics Letters, 2019, 44 (13), pp.3386-3389. ⟨10.1364/OL.44.003386⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Rare-earth ion doped crystals for quantum metrology
- auteur
- Bess Fang, Nicolas Galland, Nemanja Lučić, Shuo Zhang, Rodolphe Le Targat, Signe Seidelin, Alban Ferrier, Philippe Goldner, Yann Le Coq
- article
- International conference on Quantum Metrology and Sensing, Dec 2019, Paris, France
- Accès au bibtex
-
- titre
- New generation of aluminum borate phosphors for white LEDs lighting prepared by the polymeric precursor method (modified Pechini)
- auteur
- Mathieu Salaun, Isabelle Gautier-Luneau, Michel Bardet, Vincent Maurel, Atul D. Sontakke, Bruno Viana, Alain Ibanez
- article
- Congrès RX et matière, Nov 2019, Nancy, France
- Accès au bibtex
-
- titre
- Rare-earth doped crystals for ultra-stable lasers
- auteur
- Bess Fang, Nicolas Galland, Shuo Zhang, Nemanja Lučić, Signe Seidelin, Alban Ferrier, Philippe Goldner, Yann Le Coq
- article
- Rare Earth Ions Workshop (REIW’19), Oct 2019, Grenoble, France
- Accès au bibtex
-
- titre
- Cascaded Third-Harmonic Generation in a quasi-periodically poled KTP crystal
- auteur
- Véronique Boutou, Augustin Vernay, Lucas Bonnet-Gamard, Sivan Trajtenberg-Mills, Ady Arie, Benoit Boulanger
- article
- Advanced Solid State Lasers, Sep 2019, Vienna, Austria. pp.AW1A.2, ⟨10.1364/ASSL.2019.AW1A.2⟩
- Accès au bibtex
-
- titre
- Super-quadratic upconversion luminescence of Nd3+ ions in GdVO4 and LaSc3(BO3)4 laser crystals
- auteur
- I. Carrasco, L. Laversenne, S. Bigotta, A. Toncelli, M. Tonelli, A.I. Zagumennyi, And M. Pollnau
- article
- Advanced Solid-State Lasers Conference, Vienna, Austria, 2019,, Sep 2019, Vienne, Austria
- Accès au bibtex
-
- titre
- About surface engineering to tune the growth of semiconductor nanostructures
- auteur
- H. Mariette
- article
- 7th International Workshop on Epitaxial Growth and Fundamental Properties of Semiconductor Nanostructures, Sep 2019, Kobe, Japan
- Accès au bibtex
-
- titre
- Development of Highly Sensitive Niobium Nitride Resistive Thermal Probes for Nanoscale Thermal Microscopy
- auteur
- R. Swami, J. Paterson, D. Singhal, G. Julié, S. Le Denmat, J.-F. Motte, A. Alkurdi, J. Yin, J.F. Robillard, P.-O. Chapuis, S. Gomes, Olivier Bourgeois
- article
- E-MRS Fall Meeting Symposium "Nanomaterials thermal transport properties and nanothermodynamics", Sep 2019, Varsovie, Poland
- Accès au bibtex
-
- titre
- Structural alternative for the epitaxial growth of a VO2 film on Al2O3 (0001)
- auteur
- Aude Bailly, M. Gaudin, Aline Y. Ramos, Pierre Bouvier, Laurence Magaud, L. Laversenne
- article
- EMRS Fall Meeting, Sep 2019, Varsovie, Poland
- Accès au bibtex
-
- titre
- Optical properties of Yb3+,Er3+-doped SHG-active -La(IO3)3 nanocrystals prepared from a microwave-assisted synthesis
- auteur
- S Regny, K Bredillet, J Riporto, Yannick Mugnier, Ronan Le Dantec, I. Gautier-Luneau, Géraldine Dantelle
- article
- EMRS 2019 Fall Meeting, Sep 2019, Warsaw, Poland
- Accès au bibtex
-
- titre
- Resonant Inelastic X-ray Scattering Study of Excitations in Cuprate Superconductors
- auteur
- L. Chaix
- article
- 37th International Symposium on Dynamical Properties of Solids, Sep 2019, Ferrara, Italy. pp.35, ⟨10.3390/proceedings2019026035⟩
- Accès au bibtex
-
- titre
- Investigation of doping in III-nitrides by combining atom probe tomography and EDX spectroscopy
- auteur
- C. Bougerol, L. Amichi, Amelie Dussaigne, Adeline Grenier, P.H. Jouneau, Eva Monroy, Eric Robin
- article
- SSDM 2019 - 2019 International Conference on Solid State Devices and Materials, Sep 2019, Nagoya, Japan. ⟨10.7567/SSDM.2019.F-2-01⟩
- Accès au texte intégral et bibtex
-
- titre
- Sub-wavelength THz imaging through optical rectification
- auteur
- Gizem Soylu, Emilie Hérault, Benoit Boulanger, Fredrik Laurell, Jean-Louis Coutaz
- article
- 2019 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Sep 2019, Paris, France. ⟨10.1109/IRMMW-THz.2019.8873798⟩
- Accès au bibtex
-
- titre
- Surface energy: a key parameter for epitaxial films growth and plasma atomic layer etching
- auteur
- Henri Mariette
- article
- Asian-European International Conference on Plasma Surface Engineering, Sep 2019, Jeju-si, South Korea
- Accès au bibtex
-
- titre
- The impact of sulfur on the transfer of platinoids by geological fluids
- auteur
- C Laskar, G S Pokrovski, M Kokh, J. Hazemann, E F Bazarkina, E Desmaele
- article
- Goldschmidt Conference 2019, Aug 2019, Barcelone, Spain
- Accès au bibtex
-
- titre
- Rare Earth Elements Partitioning Between Sulphides and Melt: Evidence for Yb2+and Sm2+in EH Chondrites
- auteur
- N.J. Ingrao, Tahar Hammouda, Maud Boyet, Ivan Vlastélic, Mohamed Ali M.A. Bouhifd, Bertrand N. Moine, Jean-Luc Devidal, O. Mathon, Denis Testemale, Jean-Louis F Hazemann, Olivier Proux
- article
- Goldschmidt 2019, Aug 2019, Barcelone, Spain
- Accès au bibtex
-
- titre
- Unifying ORR structure-activity-stability relationships of shape-controlled and highly defective PtNi/C electrocatalysts
- auteur
- R Chattot, T Asset, Olivier Le Bacq, P. Bordet, Jakub Drnec, F. Maillard, L. Dubau
- article
- 70th Annual Meeting of the International Society of Electrochemistry, Aug 2019, Durban, South Africa
- Accès au bibtex
-
- titre
- Advances in the growth of alpha-GeO2 crystals by Top Seeded Solution Growth
- auteur
- Alexandra Pena Revellez, Jérôme Debray, Denis Balitsky, Philippe Villeval, Pascale Armand, Philippe Papet, Patricia Segonds, Benoit Boulanger, Bertrand Ménaert
- article
- ICCGE-19, Jul 2019, Keystone, United States
- Accès au bibtex
-
- titre
- Rendering transparent materials with a complex refractive index: semi-conductor and conductor thin layers
- auteur
- Morgane Gerardin, Nicolas Holzschuch, Pauline Martinetto
- article
- MAM 2019 - 7th annual Workshop on Material Appearance Modeling, Jul 2019, Strasbourg, France. pp.13-16, ⟨10.2312/mam.20191306⟩
- Accès au texte intégral et bibtex
-
- titre
- From atomic fountains to ultra-stable lasers
- auteur
- B. Fang, H. Le Goff, B. Chupin, L. Lorini, M. Abgrall, P. Blondé, D. Rovera, P. Tuckey, P. Uhrich, J. Achkar, J. Guéna, S. Zhang, R. Le Tagart, Y. Le Coq, S. Bize, H. Alvarez-Martinez, N. Galland, S. Seidelin, A. Ferrier, P. Goldner
- article
- New Frontiers for Metrology: From Biology and Chemistry to Quantum and Data Science, Jul 2019, Varenna, Italy. pp.401-408, ⟨10.3254/ENFI210039⟩
- Accès au bibtex
-
- titre
- Decoding Structure -ORR Activity Relationships Thanks to Surface Distortion
- auteur
- Raphaël Chattot, O. Le Bacq, A. Pasturel, Pierre Bordet, J. Drnec, L. Dubau, Frédéric Maillard
- article
- EFCF 2019 Fuel Cells, Electrolysers H2 Processing Forum, Jul 2019, Lucerne, Switzerland
- Accès au bibtex
-
- titre
- Characterization of micro/nano-rheology properties of soft and biological matter combined with a virtual reality haptic exploration
- auteur
- Claudie Petit, Marwene Kechiche, Ioan-Alexandru Ivan, Rosario Toscano, Valentine Bolcato, Emmanuelle Planus, Florence Marchi
- article
- 2019 International Conference on Manipulation, Automation and Robotics at Small Scales (MARSS), Jul 2019, Helsinki, Finland. ⟨10.1109/MARSS.2019.8860978⟩
- Accès au texte intégral et bibtex
-
- titre
- Cyclic BCl3-based plasma treatments for enhancing surface quality of (010) β-Ga2O3 substrates
- auteur
- Y. Douest, C. Mannequin, T. Ito, Y. Ozaki, C. Vallee, E. Gheeraert, K. Akimoto, M. Sasaki, T. Teramoto, C. Dussarat
- article
- Joint Conference of XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10), Jul 2019, Sapporo, Japan
- Accès au bibtex
-
- titre
- Comparative study of two Atomic Layer Etching processes for GaN
- auteur
- C. Mannequin, C. You, Gwenolé Jacopin, T. Chevolleau, C. Durand, C. Vallee, C. Dussarat, T. Teramoto, H. Mariette, K. Akimoto, M. Sasaki, E. Gheeraert
- article
- 19th International Conference on Atomic layer Deposition (ALD2019), Jul 2019, Bellevue, United States
- Accès au bibtex
-
- titre
- Super-Quadratic Upconversion Luminescence among Lanthanide Ions
- auteur
- I. Carrasco, L. Laversenne, S. Bigotta, M. Tonelli, A.I. Zagumennyi, And M. Pollnau
- article
- CLEO/Europe Conference, Jun 2019, Munich, Germany
- Accès au bibtex
-
- titre
- Quand « développer un APP en Physique » devient un prétexte à coopération entre disciplines, enseignants et étudiants
- auteur
- Celine Darie, Christophe Durand
- article
- Questions de Pédagogies dans l'Enseignement Supérieur, ENSTA Bretagne, IMT-A, UBO, Jun 2019, Brest, France
- Accès au texte intégral et bibtex
-
- titre
- AlGaN/GaN High Electron Mobility Transistors with Ultra -Wide Bandgap AlN buffer
- auteur
- Idriss Abid, Riad Kabouche, Malek Zegaoui, C. Bougerol, Rémi Comyn, Yvon Cordier, F Medjdoub
- article
- 43rd Workshop on Compound Semiconductor Devices and Integrated Circuits, WOCSDICE 2019, Jun 2019, Cabourg, France
- Accès au texte intégral et bibtex
-
- titre
- New generation of aluminum borate phosphors for white LEDs lighting prepared by the polymeric precursor method (modified Pechini)
- auteur
- Mathieu Salaun, Pierre Gaffuri, Isabelle Gautier-Luneau, M. Bardet, Vincent Maurel, Atul D. Sontakke, Bruno Viana, Alain Ibanez
- article
- 8th International Symposium on Optical Materials, Jun 2019, Wraslaw, Poland
- Accès au bibtex
-
- titre
- W-doped VO2 thin films, electronic and structural investigations of the MIT
- auteur
- M. Gaudin, M. M. Villamayor, Aude Bailly, Aline Y. Ramos, Pierre Bouvier, Stéphane Grenier, M. Chaker, Laurence Magaud, L. Laversenne
- article
- EMR Spring Meeting, May 2019, Nice, France
- Accès au bibtex
-
- titre
- 175V, > 5.4 MV/cm, 50 m(omega).cm2 at 250°C Diamond MOSFET and its reverse conduction
- auteur
- Cédric Masante, Julien Pernot, Juliette Letellier, David Eon, Nicolas C. Rouger
- article
- 2019 31st International Symposium on Power Semiconductor Devices and ICs (ISPSD), May 2019, Shanghai, China. pp.151-154, ⟨10.1109/ISPSD.2019.8757645⟩
- Accès au texte intégral et bibtex
-
- titre
- Laser Frequency Stabilization Based on Spectral- Hole Burning Using Double-Heterodyne Detection
- auteur
- Nicolas Galland, Nemanja Lučić, Bess Fang, Zhang Shuo, Héctor Alvarez Martinez, Rodolphe Le Targat, Alban Ferrier, Goldner Philippe, Signe Seidelin, Yann Le Coq
- article
- 2019 Joint Conference of the IEEE International Frequency Control Symposium and European Frequency and Time Forum (EFTF/IFC), Apr 2019, Orlando FL, United States. ⟨10.1109/FCS.2019.8856036⟩
- Accès au bibtex
-
- titre
- Surface distortion as a unifying concept and descriptor in oxygen reduction reaction electrocatalysis
- auteur
- Frédéric Maillard, Raphaël Chattot, Pierre Bordet, Jakub Drnec, Tristan Asset, Laetitia Dubau
- article
- Third International Symposium on Nanoporous Materials by Alloy Corrosion, Feb 2019, Philadelphie, United States
- Accès au bibtex
-
- titre
- Frequency locking a laser on a spectral hole pattern with a multi-channel heterodyne method using SDR and GnuRadio
- auteur
- Nicolas Galland, Nemanja Lucic, Héctor Alvarez Martinez, Shuo Zhang, Bess Fang, Rodolphe Le Targat, Alban Ferrier, Philippe Goldner, Signe Seidelin, Yann Le Coq
- article
- European GNURadio days, 2019, Besançon, France
- Accès au bibtex
-
- titre
- Double-heterodyne detection of spectral hole in rare earth doped crystal for laser frequency stabilization and opto-mechanical sensing
- auteur
- Nicolas Galland, Nemanja Lucic, Héctor Alvarez Martinez, Shuo Zhang, Bess Fang, Rodolphe Le Targat, Alban Ferrier, Philippe Goldner, Signe Seidelin, Yann Le Coq
- article
- CLEO/Europe-EQEC 2019, 2019, Munich, Germany
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- NANOANDES et MEMS-AL: des écoles d'été sur les Nanosciences et sur le monde numérique créées par la Puya de Raimondi
- auteur
- Gustavo Ardila, Robert Baptist, F. Chandezon, Alain Ibanez, François Piuzzi, W. Torres
- article
- COLIFRI. Science, Technologie et Societé - Défis de la Recherche en Colombie - Une perpective depuis la coopération franco-colombienne, , inPress
- Accès au bibtex
-
Poster de conférence
- titre
- Broken symmetry of in-gap quasiparticle excitations in superconducting FeSe(001)
- auteur
- Jonathan Baptista, Sergio Vlaic, Pierre Toulemonde, Dimitri Roditchev, Stéphane Pons
- article
- Journée du STM 2019, Jun 2019, Palaiseau, France
- Accès au bibtex
-
- titre
- Spectral Hole Burning for Ultra-stable Lasers and Atomic-scale Force Sensors
- auteur
- Shuo Zhang, Nicolas Galland, Nemanja Lučić, Bess Fang, Signe Seidelin, Yann Le Coq
- article
- Les Houches INTERNATIONAL THEMATIC SCHOOL-High Precision Physics using an Optical Fibre Link and Optical Frequency Combs, Apr 2019, Ecole de Physique des Houches, France. 2019
- Accès au bibtex
-
- titre
- Patrimalp, Science of Heritage : perspectives on cultural artworks
- auteur
- Laurence Ciavaldini Riviere, Emilie Chalmin, Mélanie Duval, Pauline Martinetto, Pierre Bordet, Karine Froment, Catherine Dejoie, Nils Blanc, Nicolas Holzschuch, Danielle Ziébelin, Paule-Annick Davoine
- article
- World meeting « Heritage Sciences and Technologies, 13-16 Février 2019, Paris, Feb 2019, Paris, France
- Accès au texte intégral et bibtex
-
Thèse
- titre
- Vers des systèmes opto-mécaniques et mesures de haute précision à base de cristaux dopés aux terres rares
- auteur
- Nicolas Galland
- article
- Physique Quantique [quant-ph]. Université Grenoble Alpes, 2019. Français. ⟨NNT : 2019GREAY076⟩
- Accès au texte intégral et bibtex
-
- titre
- Diamond MOSFET for power electronics
- auteur
- Cédric Masante
- article
- Micro and nanotechnologies/Microelectronics. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAT070⟩
- Accès au texte intégral et bibtex
-
- titre
- Diamond Schottky diodes improvement to pave the way to high power electronic application
- auteur
- Juliette Letellier
- article
- Micro and nanotechnologies/Microelectronics. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAT073⟩
- Accès au texte intégral et bibtex
-
- titre
- Optomechanical transducer based on a single quantum dot
- auteur
- Nitika Vaish
- article
- Other [cond-mat.other]. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAY074⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrasensitive nanowire force sensors in extreme conditions : from dilution temperature to ultra-strong coupling in cavity nano-optomechanics
- auteur
- Francesco Fogliano
- article
- Condensed Matter [cond-mat]. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAY070⟩
- Accès au texte intégral et bibtex
-
- titre
- Light hole ground state in anisotropic nanowire - quantum dots : numerical calculations and magneto-optical spectroscopy
- auteur
- Kimon Moratis
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAY058⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of Al thermal diffusion in SixGe1-x alloy nanowires using in-situ transmission electron microscopy
- auteur
- Minh Anh Luong
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAY050⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum thermodynamics and optomechanics
- auteur
- Juliette Monsel
- article
- Quantum Physics [quant-ph]. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAY051⟩
- Accès au texte intégral et bibtex
-
- titre
- Correlated electro-optical and TEM studies on single III-N nanowire heterostructures
- auteur
- Maria Spies
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAY048⟩
- Accès au texte intégral et bibtex
-
- titre
- Piezoelectricity in single III-Nitride nanowires for nanopiezotronics : a scanning force microscopy investigation
- auteur
- Lucas Jaloustre
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2019. English. ⟨NNT : 2019GREAY037⟩
- Accès au texte intégral et bibtex
-
- titre
- De la génération de somme de fréquence à la fluorescence paramétrique dans des nanostructures plasmoniques hybrides
- auteur
- Nicolas Chauvet
- article
- Optique [physics.optics]. Université Grenoble Alpes, 2019. Français. ⟨NNT : 2019GREAY011⟩
- Accès au texte intégral et bibtex
-
2018
Article dans une revue
- titre
- Operando X-ray Absorption Spectroscopy and Emission Kβ 1,3 Study of the Manganese Redox Activity in High-Capacity Li 4 Mn 2 O 5 Cathode
- auteur
- Maria Diaz-Lopez, Yves Joly, Melanie Freire, Claire Colin, Olivier Proux, Valérie Pralong, Pierre Bordet
- article
- Journal of Physical Chemistry C, 2018, 122 (51), pp.29586-29597. ⟨10.1021/acs.jpcc.8b09397⟩
- Accès au bibtex
-
- titre
- Investigation by Mössbauer spectroscopy and atom probe tomography of the phase transformation of Nd-Fe-B alloys after high-energy ball milling
- auteur
- Nicolas Maât, Rodrigue Lardé, Virginie Nachbaur, Jean Marie Le Breton, Olivier Isnard, Viorel Pop, Ionel Chicinaş
- article
- Journal of Applied Physics, 2018, 124 (22), pp.223905. ⟨10.1063/1.5048619⟩
- Accès au bibtex
-
- titre
- Mirage Andreev Spectra Generated by Mesoscopic Leads in Nanowire Quantum Dots
- auteur
- Z Su, A. Zarassi, J.-F Hsu, P San-Jose, E Prada, R Aguado, E J H Lee, S Gazibegovic, R L M Op Het Veld, D Car, S.R. Plissard, M Hocevar, M Pendharkar, J S Lee, J A Logan, C J Palmstrøm, E P a M Bakkers, S M Frolov
- article
- Physical Review Letters, 2018, 121 (12), pp.127705. ⟨10.1103/PhysRevLett.121.127705⟩
- Accès au texte intégral et bibtex
-
- titre
- Two-dimensional Nature of Center-of-mass Excitons Confined in a Single CdMnTe/CdTe/CdMnTe Heterostructure
- auteur
- Woojin Lee, Minwoo Kim, Hanyi Yang, Kwangseuk Kyhm, Akihiro Murayama, Kuntheak Kheng, H. Mariette, Daniel Le Si Dang
- article
- Current Optics and Photonics, 2018, 2 (6), pp.589-594. ⟨10.3807/COPP.2018.2.6.589⟩
- Accès au bibtex
-
- titre
- The role of Pb(II) complexes in hydrothermal mass transfer: An X-ray absorption spectroscopic study
- auteur
- Barbara Etschmann, Yuan Mei, Weihua Liu, Dave Sherman, Denis Testemale, Harald Müller, Nicholas Rae, Peter Kappen, Joël Brugger
- article
- Chemical Geology, 2018, 502, pp.88-106. ⟨10.1016/j.chemgeo.2018.10.022⟩
- Accès au bibtex
-
- titre
- Broken symmetry of in-gap quasiparticle excitations in superconducting FeSe(001) with high defect density
- auteur
- Jonathan Baptista, Sergio Vlaic, Pierre Toulemonde, Sandra Karlsson, Pierre Strobel, Dimitri V Roditchev, Stéphane Pons
- article
- Physical Review B, 2018, 98 (22), pp.220502(R). ⟨10.1103/PhysRevB.98.220502⟩
- Accès au texte intégral et bibtex
-
- titre
- Eigenmode orthogonality breaking and anomalous dynamics in multimode nano-optomechanical systems under non-reciprocal coupling
- auteur
- Laure Mercier de Lépinay, Benjamin Pigeau, Benjamin Besga, Olivier Arcizet
- article
- Nature Communications, 2018, 9, pp.1401. ⟨10.1038/s41467-018-03741-8⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanobob: a CubeSat mission concept for quantum communication experiments in an uplink configuration
- auteur
- Erik Kerstel, Arnaud Gardelein, Mathieu Barthelemy, Yves Gilot, Etienne Lecoarer, Juana Rodrigo, Thierry Sequies, Vincent Borne, Guillaume Bourdarot, Jean-Yves Burlet, Alexis Christidis, Jesus Segura, Benoit Boulanger, Véronique Boutou, Mylene Bouzat, Mathieu Chabanol, Laurent Fesquet, Hassen Fourati, Michel Moulin, Jean-Michel Niot, Rodrigo Possamai Bastos, Bogdan Robu, Etienne Rolland, Sylvain Toru, Matthias Fink, Siddarth Koduru Joshi, Rupert Ursin
- article
- European physical journal quantum technology, 2018, 5 (6), pp.1-30. ⟨10.1140/epjqt/s40507-018-0070-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarization Spectroscopy of an Isolated Quantum Dot and an Isolated Quantum Wire
- auteur
- V. Kats, A.V. Platonov, G. E Tsyrlin, A. D Buravlev, A. Delga, L. Besombes, H. Mariette, V. Kochereshko
- article
- Physics of the Solid State, 2018, 60 (12), pp.2623-2627. ⟨10.1134/S1063783418120156⟩
- Accès au texte intégral et bibtex
-
- titre
- Determination of alumina bandgap and dielectric functions of diamond MOS by STEM-VEELS
- auteur
- J. Cañas, J. Piñero, F. Lloret, M. Gutierrez, T. Pham, Julien Pernot, D. Araujo
- article
- Applied Surface Science, 2018, 461, pp.93-97. ⟨10.1016/j.apsusc.2018.06.163⟩
- Accès au bibtex
-
- titre
- Phase-space-density limitation in laser cooling without spontaneous emission
- auteur
- Thierry Chanelière, Daniel Comparat, Hans Lignier
- article
- Physical Review A, 2018, 98 (6), pp.063432. ⟨10.1103/PhysRevA.98.063432⟩
- Accès au texte intégral et bibtex
-
- titre
- On the origins of transport inefficiencies in mesoscopic networks
- auteur
- Sébastien Toussaint, Frederico Martins, Sebastien Faniel, Marco Pala, L. Desplanque, Xavier Wallart, Hermann Sellier, Serge Huant, Vincent Bayot, Benoit Hackens
- article
- Scientific Reports, 2018, 8, pp.3017. ⟨10.1038/s41598-018-21250-y⟩
- Accès au texte intégral et bibtex
-
- titre
- High resolution boron content profilometry at δ-doping epitaxial diamond interfaces by CTEM
- auteur
- J.C. Pinero, F. Lloret, M.P. Alegre, M.P. Villar, A. Fiori, Etienne Bustarret, D. Araujo
- article
- Applied Surface Science, 2018, 461, pp.221-226. ⟨10.1016/j.apsusc.2018.07.097⟩
- Accès au bibtex
-
- titre
- Stability of the polar faces in KTiOPO 4 crystalline layers grown by liquid phase epitaxy
- auteur
- Alexandra Pena Revellez, Bertrand Ménaert, Jérôme Debray, Carlota Canalias, Benoit Boulanger
- article
- CrystEngComm, 2018, 20 (46), pp.7502-7506. ⟨10.1039/C8CE01453A⟩
- Accès au bibtex
-
- titre
- An autonomous quantum machine to measure the thermodynamic arrow of time
- auteur
- Juliette Monsel, Cyril Elouard, Alexia Auffèves
- article
- npj Quantum Information, 2018, 4, pp.59. ⟨10.1038/s41534-018-0109-8⟩
- Accès au texte intégral et bibtex
-
- titre
- Monolithic Axial and Radial Metal–Semiconductor Nanowire Heterostructures
- auteur
- M. Sistani, M. Luong, M. den Hertog, Eric Robin, M. Spies, B. Fernandez, J. Yao, E. Bertagnolli, A. Lugstein
- article
- Nano Letters, 2018, 18 (12), pp.7692-7697. ⟨10.1021/acs.nanolett.8b03366⟩
- Accès au bibtex
-
- titre
- Plasmonic core–shell nanostructure as an optical photoactive nanolens for enhanced light harvesting and hydrogen production
- auteur
- Getaneh Diress Gesesse, Thomas Le Neel, Zhenpeng Cui, Guillaume Bachelier, Hynd Remita, Christophe Colbeau-Justin, Mohamed Nawfal Ghazzal
- article
- Nanoscale, 2018, 10 (43), pp.20140-20146. ⟨10.1039/C8NR07475E⟩
- Accès au bibtex
-
- titre
- Three-Dimensional Diamond MPCVD Growth over MESA Structures: A Geometric Model for Growth Sector Configuration
- auteur
- Fernando Lloret, Daniel Araùjo, David Eon, Etienne Bustarret
- article
- Crystal Growth & Design, 2018, 18 (12), pp.7628-7632. ⟨10.1021/acs.cgd.8b01424⟩
- Accès au bibtex
-
- titre
- Nonreciprocal Optical and Magnetooptical Effects in Semiconductor Quantum Wells
- auteur
- V. Kotova, V. Platonov, V. Kats, T. S. Shamirzaev, Régis André, V. Kochereshko
- article
- Physics of the Solid State, 2018, 60 (11), pp.2269 - 2275. ⟨10.1134/S1063783418110148⟩
- Accès au bibtex
-
- titre
- Investigation of structural, electrical and dielectric properties of sol-gel prepared La0.67-xEuxBa0.33Mn0.85Fe0.15O3 (x=0.0, 0.1) manganites
- auteur
- W. Ncib, A. Ben Jazia Kharrat, A. Wederni, Nassira Boudjada, K. Khirouni, W. Boujelben
- article
- Journal of Alloys and Compounds, 2018, 768, pp.249-262. ⟨10.1016/j.jallcom.2018.07.192⟩
- Accès au bibtex
-
- titre
- Foreword
- auteur
- Pauline Martinetto, Philippe Walter
- article
- Comptes Rendus. Physique, 2018, 19 (7), pp.529-531. ⟨10.1016/j.crhy.2018.11.002⟩
- Accès au bibtex
-
- titre
- Semi-device-independent characterization of multipartite entanglement of states and measurements
- auteur
- Armin Tavakoli, Alastair A. Abbott, Marc-Olivier Renou, Nicolas Gisin, Nicolas Brunner
- article
- Physical Review A, 2018, 98 (5), pp.052333. ⟨10.1103/PhysRevA.98.052333⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis, crystal structure and magnetic properties of R 11 Ni 60 C 6 (R = Tb, Dy) compounds
- auteur
- V. Levytskyi, O. Isnard, V. Babizhetskyy, B. Kotur
- article
- Journal of Physics and Chemistry of Solids, 2018, 122, pp.189-197. ⟨10.1016/j.jpcs.2018.06.010⟩
- Accès au bibtex
-
- titre
- Tuning Electroluminescence from a Plasmonic Cavity-Coupled Silicon Light Source
- auteur
- S. Glassner, H. Keshmiri, D. Hill, J. Hill, B. Fernandez, M. den Hertog, A. Lugstein
- article
- Nano Letters, 2018, 18 (11), pp.7230-7237. ⟨10.1021/acs.nanolett.8b03391⟩
- Accès au bibtex
-
- titre
- Green electroluminescence from radial m -plane InGaN quantum wells grown on GaN wire sidewalls by metal–organic vapor phase epitaxy
- auteur
- Akanksha Kapoor, Nan Guan, Martin Vallo, Agnès Messanvi, Lorenzo Mancini, Eric Gautier, Catherine Bougerol, Bruno Gayral, François H. Julien, François Vurpillot, Lorenzo Rigutti, Maria Tchernycheva, J. Eymery, Christophe Durand
- article
- ACS photonics, 2018, 5 (11), pp.4330-4337. ⟨10.1021/acsphotonics.8b00520⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystalline Defects Induced during MPCVD Lateral Homoepitaxial Diamond Growth
- auteur
- Fernando Lloret, David Eon, Etienne Bustarret, Daniel Araujo
- article
- Nanomaterials, 2018, 8 (10), pp.814. ⟨10.3390/nano8100814⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical fiber tip tweezers, a complementary approach for nanoparticle trapping
- auteur
- Godefroy Leménager, Khalid Lahlil, Thierry Gacoin, Gérard Colas Des Francs, Jochen Fick
- article
- Journal of Nanophotonics, 2018, 13 (1), pp.012505. ⟨10.1117/1.JNP.13.012505⟩
- Accès au bibtex
-
- titre
- Category Theoretic Analysis of Photon-Based Decision Making
- auteur
- Makoto Naruse, Song-Ju Kim, Masashi Aono, Martin Berthel, Aurélien Drezet, Serge Huant, Hirokazu Hori
- article
- International Journal of Information Technology and Decision Making, 2018, 17 (05), pp.1305-1333. ⟨10.1142/S0219622018500268⟩
- Accès au bibtex
-
- titre
- Intersubband absorption in GaN nanowire heterostructures at mid-infrared wavelengths
- auteur
- A. Ajay, R Blasco, J. Polaczyński, M. Spies, Martien den Hertog, E. Monroy
- article
- Nanotechnology, 2018, 29 (38), pp.385201. ⟨10.1088/1361-6528/aacf55⟩
- Accès au bibtex
-
- titre
- Elastic properties of the charge density wave system HoTe 3
- auteur
- M. Saint-Paul, C. Guttin, P. Lejay, O. Leynaud, P. Monceau
- article
- International Journal of Modern Physics B, 2018, 32 (23), pp.1850249. ⟨10.1142/S0217979218502491⟩
- Accès au bibtex
-
- titre
- Light Controlled Optical Aharonov–Bohm Oscillations in a Single Quantum Ring
- auteur
- Heedae Kim, Seongho Park, Rin Okuyama, Kwangseuk Kyhm, Mikio Eto, Robert Taylor, Gilles Nogues, Le Si Dang, Marek Potemski, Koochul Je, Jongsu Kim, Jihoon Kyhm, Jindong Song
- article
- Nano Letters, 2018, 18 (10), pp.6188-6194. ⟨10.1021/acs.nanolett.8b02131⟩
- Accès au bibtex
-
- titre
- Polarity-Dependent High Electrical Conductivity of ZnO Nanorods and Its Relation to Hydrogen
- auteur
- Thomas Cossuet, Fabrice Donatini, Alex M. Lord, Estelle Appert, Julien Pernot, Vincent Consonni
- article
- Journal of Physical Chemistry C, 2018, 122 (39), pp.22767-22775. ⟨10.1021/acs.jpcc.8b07388⟩
- Accès au bibtex
-
- titre
- GaN surface as the source of non-radiative defects in InGaN/GaN quantum wells
- auteur
- C. Haller, J.-F. Carlin, Gwenolé Jacopin, W. Liu, D. Martin, R. Butté, N. Grandjean
- article
- Applied Physics Letters, 2018, 113 (11), pp.111106. ⟨10.1063/1.5048010⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of high anisotropy phase on the properties of hard–soft magnetic nanocomposite powders obtained by mechanical milling
- auteur
- R. Hirian, A. Bolinger, O. Isnard, V. Pop
- article
- Powder Metallurgy, 2018, 61 (5), pp.369-373. ⟨10.1080/00325899.2018.1531582⟩
- Accès au bibtex
-
- titre
- Near-UV narrow bandwidth optical gain in lattice-matched III–nitride waveguides
- auteur
- Joachim Ciers, Gwenolé Jacopin, Gordon Callsen, Catherine Bougerol, Jean-François Carlin, Raphaël Butté, Nicolas Grandjean
- article
- Japanese Journal of Applied Physics, 2018, 57 (9), pp.090305. ⟨10.7567/JJAP.57.090305⟩
- Accès au texte intégral et bibtex
-
- titre
- Insights about the Absence of Rb Cation from the 3D Perovskite Lattice: Effect on the Structural, Morphological, and Photophysical Properties and Photovoltaic Performance
- auteur
- Ryusuke Uchida, Silvia Binet, Neha Arora, Gwenolé Jacopin, Mohammad Hayal Alotaibi, Andreas Taubert, Shaik Zakeeruddin, M. Ibrahim Dar, Michael Graetzel
- article
- Small, 2018, 14 (36), pp.1802033. ⟨10.1002/smll.201802033⟩
- Accès au bibtex
-
- titre
- X-ray diffraction and heterogeneous materials: An adaptive crystallography approach
- auteur
- Catherine Dejoie, Pierre-Olivier Autran, Pierre Bordet, Andy Fitch, Pauline Martinetto, Philippe Sciau, Nobumichi Tamura, Jonathan Wright
- article
- Comptes Rendus. Physique, 2018, 19 (7), pp.553-560. ⟨10.1016/j.crhy.2018.09.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and magnetic properties of NdCo12-Fe B6 ferromagnetic compounds
- auteur
- F. Mesquita, L.V.B. Diop, O. Isnard
- article
- Journal of Alloys and Compounds, 2018, 763, pp.355-359. ⟨10.1016/j.jallcom.2018.05.278⟩
- Accès au bibtex
-
- titre
- Interaction-enhanced flow of a polariton superfluid current in a ring
- auteur
- A. Gallemí, M. Guilleumas, Maxime Richard, A. Minguzzi
- article
- Physical Review B, 2018, 98 (10), pp.104502. ⟨10.1103/PhysRevB.98.104502⟩
- Accès au bibtex
-
- titre
- Effect of A-site deficiency on investigation of structural, magnetic and magnetocaloric behaviors for (LaSr)-lacunar manganites
- auteur
- J. Makni-Chakroun, R. M'Nassri, W. Cheikhrouhou-Koubaa, M. Koubaa, Nassira Boudjada, A. Cheikhrouhou
- article
- Chemical Physics Letters, 2018, 707, pp.61-70. ⟨10.1016/j.cplett.2018.07.039⟩
- Accès au bibtex
-
- titre
- Impact of offset energies on the yield of interfacial charge separation in molecular photocells
- auteur
- Tahereh Nematiaram, Matthias Ernzerhof, Asghar Asgari, Didier Mayou
- article
- Journal of Chemical Physics, 2018, 149 (6), pp.064102. ⟨10.1063/1.5029491⟩
- Accès au bibtex
-
- titre
- Surface Plasmon Polariton-Controlled Molecular Switch
- auteur
- Alexandre Giguère, Matthias Ernzerhof, Didier Mayou
- article
- Journal of Physical Chemistry C, 2018, 122 (35), pp.20083-20089. ⟨10.1021/acs.jpcc.8b05190⟩
- Accès au bibtex
-
- titre
- Control of the Alumina Microstructure to Reduce Gate Leaks in Diamond MOSFETs
- auteur
- Marina Gutiérrez, Fernando Lloret, Toàn Pham, Jesús Cañas, Daniel Reyes, David Eon, Julien Pernot, Daniel Araujo
- article
- Nanomaterials, 2018, 8 (8), pp.584. ⟨10.3390/nano8080584⟩
- Accès au bibtex
-
- titre
- Collapse of Critical Nematic Fluctuations in FeSe under Pressure
- auteur
- Pierre Massat, Yundi Quan, Romain Grasset, Marie-Aude Measson, Maximilien Cazayous, Alain Sacuto, Sandra Karlsson, Pierre Strobel, Pierre Toulemonde, Zhiping Yin, Yann Gallais
- article
- Physical Review Letters, 2018, 121 (7), pp.077001. ⟨10.1103/PhysRevLett.121.077001⟩
- Accès au texte intégral et bibtex
-
- titre
- Indefinite Causal Order in a Quantum Switch
- auteur
- K. Goswami, C. Giarmatzi, M. Kewming, Fabio Costa, Cyril Branciard, Jacqueline Romero, A. g. White
- article
- Physical Review Letters, 2018, 121 (9), pp.090503. ⟨10.1103/PhysRevLett.121.090503⟩
- Accès au bibtex
-
- titre
- Gate Oxide Electrical Stability of p-type Diamond MOS Capacitors
- auteur
- O. Loto, M. Florentin, C. Masante, N. Donato, M. Hicks, C. Pakpour-Tabrizi, R. Jackman, V. Zuerbig, P. Godignon, D. Eon, Julien Pernot, F. Udrea, E. Gheeraert
- article
- IEEE Transactions on Electron Devices, 2018, 65 (8), pp.3361-3364. ⟨10.1109/TED.2018.2847340⟩
- Accès au bibtex
-
- titre
- On the Yttrium Tantalate – Zirconia phase diagram
- auteur
- Mary Gurak, Quentin Flamant, Laetitia Laversenne, David R. Clarke
- article
- Journal of the European Ceramic Society, 2018, 38 (9), pp.3317 - 3324. ⟨10.1016/j.jeurceramsoc.2018.03.012⟩
- Accès au bibtex
-
- titre
- Potential Fluctuations and Localization Effects in CZTS Single Crystals, as Revealed by Optical Spectroscopy
- auteur
- Joël Bleuse, Frédérique Ducroquet, Henri Mariette
- article
- Journal of Electronic Materials, 2018, 47 (8), pp.4282-4288. ⟨10.1007/s11664-018-6193-x⟩
- Accès au bibtex
-
- titre
- Uranyl-chloride speciation and uranium transport in hydrothermal brines: Comment on Migdisov et al. (2018) “A spectroscopic study of uranyl speciation in chloride-bearing solutions at temperatures up to 250 °C”, Geochim. Cosmochim. Acta 222, 130–145
- auteur
- M. Dargent, J. Dubessy, Elena Bazarkina, L. Truche
- article
- Geochimica et Cosmochimica Acta, 2018, 235, pp.505-508. ⟨10.1016/j.gca.2018.05.015⟩
- Accès au bibtex
-
- titre
- Rare earth effect on the critical behavior of La 0.75 Ln 0.05 Sr 0.2 MnO 3 manganites
- auteur
- A. Elghoul, A. Krichene, Nassira Chniba Boudjada, W. Boujelben
- article
- Ceramics International, 2018, 44 (12), pp.14510-14517. ⟨10.1016/j.ceramint.2018.05.066⟩
- Accès au bibtex
-
- titre
- Rare earth effect on structural, magnetic and magnetocaloric properties of La 0.75 Ln 0.05 Sr 0.2 MnO 3 manganites
- auteur
- A. Elghoul, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Ceramics International, 2018, 44 (11), pp.12723-12730. ⟨10.1016/j.ceramint.2018.04.075⟩
- Accès au bibtex
-
- titre
- Influence of process control agent type on the mechanosynthesis of Fe 3 O 4 particles
- auteur
- H.F. Chicinaş, T.F. Marinca, B.V. Neamțu, G. Conțiu, O. Isnard, I. Chicinaş
- article
- Advanced Powder Technology, 2018, 29 (8), pp.1838-1847. ⟨10.1016/j.apt.2018.04.020⟩
- Accès au bibtex
-
- titre
- Influence of Silicon on the Nucleation Rate of GaAs Nanowires on Silicon Substrates
- auteur
- Hadi Hijazi, Vladimir Dubrovskii, Guillaume Monier, Evelyne Gil, Christine Leroux, Geoffrey Avit, Agnes Trassoudaine, Catherine Bougerol, Dominique Castellucci, Christine Robert-Goumet, Yamina Andre
- article
- Journal of Physical Chemistry C, 2018, 122 (33), pp.19230 - 19235. ⟨10.1021/acs.jpcc.8b05459⟩
- Accès au bibtex
-
- titre
- Metasurface for Reciprocal Spin-Orbit Coupling of Light on Waveguiding Structures
- auteur
- Quanbo Jiang, Julien Laverdant, Clémentine Symonds, Aline Pham, Cécile Le Luyer, Stéphan Guy, Aurélien Drezet, Joël Bellessa
- article
- Physical Review Applied, 2018, 10 (1), pp.014014. ⟨10.1103/PhysRevApplied.10.014014⟩
- Accès au texte intégral et bibtex
-
- titre
- Mutations of histidine 13 to arginine but also of arginine 5 to glycine are responsible for the different coordination sites of Zn(II) to human and murine peptides
- auteur
- Bruno Alies, Valentina Borghesani, Sabrina Noël, Stephanie Sayen, Emmanuel Guillon, Denis Testemale, Peter Faller, Christelle Hureau
- article
- Chemistry - A European Journal, 2018, 24 (53), pp.14233-14241. ⟨10.1002/chem.201802759⟩
- Accès au bibtex
-
- titre
- Impact of environment on dynamics of exciton complexes in a WS 2 monolayer
- auteur
- Tomasz Jakubczyk, Karol Nogajewski, Maciej Molas, Miroslav Bartos, Wolfgang Langbein, Marek Potemski, Jacek Kasprzak
- article
- 2D Materials, 2018, 5 (3), pp.031007. ⟨10.1088/2053-1583/aabc1c⟩
- Accès au bibtex
-
- titre
- Boron-Doping Proximity Effects on Dislocation Generation during Non-Planar MPCVD Homoepitaxial Diamond Growth
- auteur
- Fernando Lloret, David Eon, Etienne Bustarret, Alexandre Fiori, Daniel Araújo
- article
- Nanomaterials, 2018, 8 (7), pp.480. ⟨10.3390/nano8070480⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic properties of the doubly ordered perovskite NaLnCoWO 6 (Ln = Y, La, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Yb) family
- auteur
- Peng Zuo, Holger Klein, Céline Darie, Claire Colin
- article
- Journal of Magnetism and Magnetic Materials, 2018, 458, pp.48-51. ⟨10.1016/j.jmmm.2018.02.061⟩
- Accès au bibtex
-
- titre
- Pair-breaking quantum phase transition in superconducting nanowires
- auteur
- Hyunjeong Kim, Frédéric Gay, Adrian del Maestro, Benjamin Sacépé, Andrey Rogachev
- article
- Nature Physics, 2018, 14 (9), pp.912-917. ⟨10.1038/s41567-018-0179-8⟩
- Accès au bibtex
-
- titre
- Application of the pair distribution function analysis for the study of cultural heritage materials
- auteur
- Pierre Bordet
- article
- Comptes Rendus. Physique, 2018, 19 (7), pp.561-574. ⟨10.1016/j.crhy.2018.06.001⟩
- Accès au bibtex
-
- titre
- Surface distortion as a unifying concept and descriptor in oxygen reduction reaction electrocatalysis
- auteur
- Raphaël Chattot, Olivier Le Bacq, Vera Beermann, Stefanie Kühl, Juan Herranz, Sebastian Henning, Laura Kühn, Tristan Asset, Laure Guetaz, Gilles Renou, Jakub Drnec, Pierre Bordet, Alain Pasturel, Alexander Eychmüller, Thomas C. Schmidt, Peter Strasser, Laetitia Dubau, Frédéric Maillard
- article
- Nature Materials, 2018, 17 (9), pp.827-833. ⟨10.1038/s41563-018-0133-2⟩
- Accès au bibtex
-
- titre
- Demonstration of a 2 × 2 programmable phase plate for electrons
- auteur
- Jo Verbeeck, Armand Béché, Knut Müller-Caspary, Giulio Guzzinati, Minh Anh Luong, Martien L den Hertog
- article
- Ultramicroscopy, 2018, 190, pp.58 - 65. ⟨10.1016/j.ultramic.2018.03.017⟩
- Accès au texte intégral et bibtex
-
- titre
- Understanding of the structure activity relationship of PtPd bimetallic catalysts prepared by surface organometallic chemistry and ion exchange during the reaction of iso-butane with hydrogen
- auteur
- Reem Al-Shareef, Moussab Harb, Youssef Saih, Samy Ould-Chikh, Manuel Roldan, Dalaver Anjum, Elodie Guyonnet, Jean-Pierre Candy, Deng-Yang Jan, Suheil Abdo, Antonio Aguilar-Tapia, Olivier Proux, Jean-Louis F Hazemann, Jean-Marie Basset
- article
- Journal of Catalysis, 2018, 363, pp.34-51. ⟨10.1016/j.jcat.2018.04.009⟩
- Accès au bibtex
-
- titre
- Optical chirality density and flux measured in the local density of states of spiral plasmonic structures
- auteur
- Aline Pham, Airong Zhao, Cyriaque Genet, Aurélien Drezet
- article
- Physical Review A, 2018, 98 (1), pp.013837. ⟨10.1103/PhysRevA.98.013837⟩
- Accès au bibtex
-
- titre
- Dopant radial inhomogeneity in Mg-doped GaN nanowires
- auteur
- Alexandra-Madalina Siladie, Lynda Amichi, Nicolas Mollard, Isabelle Mouton, Bastien Bonef, Catherine Bougerol, Adeline Grenier, Eric Robin, Pierre-Henri Jouneau, Nuria Garro, Ana Cros, Bruno Daudin
- article
- Nanotechnology, 2018, 29 (25), pp.255706. ⟨10.1088/1361-6528/aabbd6⟩
- Accès au bibtex
-
- titre
- Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors
- auteur
- Maria Spies, Jakub Polaczyński, Akhil Ajay, Dipankar Kalita, Minh Anh Luong, Jonas Lähnemann, Bruno Gayral, Martien L den Hertog, Eva Monroy
- article
- Nanotechnology, 2018, 29 (25), pp.255204. ⟨10.1088/1361-6528/aab838⟩
- Accès au bibtex
-
- titre
- Structural Study of Europium Doped Gadolinium Polyphosphates LiGd(PO 3 ) 4 and Its Effect on Their Spectroscopic, Thermal, Magnetic, and Optical Properties
- auteur
- Saoussen Hammami, Nassira Chniba Boudjada, Adel Megriche
- article
- International Journal of Analytical Chemistry, 2018, 2018, pp.4371064. ⟨10.1155/2018/4371064⟩
- Accès au bibtex
-
- titre
- A narrowband infrared source based on orientation-patterned GaAs for standoff detection of chemicals
- auteur
- J. Armougom, M. Melkonian, B. Dherbecourt, M. Raybaut, A. Grisard, E. Lallier, B. Gérard, B. Faure, G. Souhaité, B. Boulanger, A. Godard
- article
- Applied Physics B - Laser and Optics, 2018, 124 (7), pp.133. ⟨10.1007/s00340-018-7006-x⟩
- Accès au texte intégral et bibtex
-
- titre
- In situ spectroscopic ellipsometry monitoring of diamond multilayers grown by microwave plasma enhanced chemical vapor deposition
- auteur
- J. Bousquet, F. Jomard, E. Bustarret, D. Eon
- article
- Diamond and Related Materials, 2018, 86, pp.41 - 46. ⟨10.1016/j.diamond.2018.04.009⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of the pore diameter in Cu/Co/Cu antidots: A XANES study
- auteur
- H. Garad, Suhail Usmani, D. Barral, P. David, L. Cagnon, D. Testemale, D. Mannix, F. Fettar, O. Proux, A. Rosa, O. Mathon, S. Pascarelli
- article
- Physical Review Materials, 2018, 2 (6), pp.066001. ⟨10.1103/PhysRevMaterials.2.066001⟩
- Accès au texte intégral et bibtex
-
- titre
- Quasi-one-dimensional magnetism in Mn x Fe 1 - x Nb 2 O 6 compounds: From Heisenberg to Ising chains
- auteur
- M.L. Hneda, S.R. Oliveira Neto, J.B.M. da Cunha, M.A. Gusmão, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2018, 456, pp.142-149. ⟨10.1016/j.jmmm.2018.02.011⟩
- Accès au bibtex
-
- titre
- Electric Field Characterization of Diamond Metal Semiconductor Field Effect Transistors Using Electron Beam Induced Current
- auteur
- Khaled Driche, Hitoshi Umezawa, Shinya Ohmagari, Hajime Okumura, Yoshiaki Mokuno, Etienne Gheeraert
- article
- Materials Science Forum, 2018, 924, pp.935-938. ⟨10.4028/www.scientific.net/MSF.924.935⟩
- Accès au bibtex
-
- titre
- Gilded medieval Islamic glazed ceramics: non-destructive surface analyses in search of technological features
- auteur
- C. Pacheco, R. Chapoulie, E. Dooryhee, M. Aucouturier, S. Makariou, D. Miroudot
- article
- Surface Engineering, 2018, 24 (2), pp.121-128. ⟨10.1080/175227013x13789832247864⟩
- Accès au bibtex
-
- titre
- What is quantum in quantum randomness?
- auteur
- Philippe Grangier, Alexia Auffèves
- article
- Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 2018, 376 (2123), pp.20170322. ⟨10.1098/rsta.2017.0322⟩
- Accès au bibtex
-
- titre
- Extracontextuality and extravalence in quantum mechanics
- auteur
- Alexia Auffèves, Philippe Grangier
- article
- Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 2018, 376 (2123), pp.20170311. ⟨10.1098/rsta.2017.0311⟩
- Accès au bibtex
-
- titre
- Mobility gap and quantum transport in a functionalized graphene bilayer
- auteur
- Ahmed Missaoui, Jouda Jemaa Khabthani, Nejm-Eddine Jaidane, Didier Mayou, Guy Trambly de Laissardière
- article
- Journal of Physics: Condensed Matter, 2018, 30 (19), pp.195701. ⟨10.1088/1361-648X/aaba06⟩
- Accès au bibtex
-
- titre
- Compressibility of BiCu 2 PO 6 : Polymorphism against S = 1 / 2 Magnetic Spin Ladders
- auteur
- Marie Colmont, C. Darie, Alexander Tsirlin, Anton Jesche, Claire Colin, Olivier Mentré
- article
- Inorganic Chemistry, 2018, 57 (10), pp.6038-6044. ⟨10.1021/acs.inorgchem.8b00445⟩
- Accès au texte intégral et bibtex
-
- titre
- Recent advances in diamond power semiconductor devices
- auteur
- Hitoshi Umezawa
- article
- Materials Science in Semiconductor Processing, 2018, 78, pp.147-156. ⟨10.1016/j.mssp.2018.01.007⟩
- Accès au bibtex
-
- titre
- Emergent high-spin state above 7 GPa in superconducting FeSe
- auteur
- B. W. Lebert, V. Balédent, Pierre Toulemonde, J. M. Ablett, J.-P. Rueff
- article
- Physical Review B, 2018, 97 (18), pp.180503(R). ⟨10.1103/physrevb.97.180503⟩
- Accès au texte intégral et bibtex
-
- titre
- Niobium addition effect in molds at last cooling step on EN-GJL250 gray cast iron: Microstructural changes and electrochemical behavior
- auteur
- M. Azzoug, N. Boutarek-Zaourar, D. Aboudi, N. Madaoui, E. Mossang, S. Chentouf
- article
- China Foundry, 2018, 15 (3), pp.228 - 235. ⟨10.1007/s41230-018-8007-4⟩
- Accès au bibtex
-
- titre
- Porous Porphyrin-Based Organosilica Nanoparticles for NIR Two-Photon Photodynamic Therapy and Gene Delivery in Zebrafish
- auteur
- Chiara Mauriello Jimenez, Dina Aggad, Jonas Croissant, Karen Tresfield, Danielle Laurencin, Dorothée Berthomieu, Nicolas Cubedo, Mireille Rossel, Shahad Alsaiari, Dalaver Anjum, Rachid Sougrat, Manuel Roldan-Gutierrez, Sébastien Richeter, Erwan Oliviero, Laurence Raehm, Clarence Charnay, Xavier Cattoën, Sébastien Clément, Michel Wong Chi Man, Marie Maynadier, Vincent Chaleix, Vincent Sol, Marcel Garcia, Magali Gary-Bobo, Niveen Khashab, Nadir Bettache, Jean-Olivier Durand
- article
- Advanced Functional Materials, 2018, 28 (21), pp.1800235. ⟨10.1002/adfm.201800235⟩
- Accès au bibtex
-
- titre
- Giant non-linear interaction between two optical beams via a quantum dot embedded in a photonic wire
- auteur
- H.-A. Nguyen, T. Grange, B. Reznychenko, I. Yeo, P.-L. de Assis, D. Tumanov, F. Fratini, N. S. Malik, E. Dupuy, N. Gregersen, A. Auffèves, J.-M. Gérard, J Claudon, J.-P. Poizat
- article
- Physical Review B, 2018, 97 (20), pp.201106(R). ⟨10.1103/PhysRevB.97.201106⟩
- Accès au texte intégral et bibtex
-
- titre
- Comprehensive electrical analysis of metal/Al 2 O 3 /O-terminated diamond capacitance
- auteur
- T. Pham, A. Maréchal, P. Muret, D. Eon, E. Gheeraert, Nicolas Rouger, Julien Pernot
- article
- Journal of Applied Physics, 2018, 123 (16), pp.161523. ⟨10.1063/1.4996114⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanowire growth and sublimation: CdTe quantum dots in ZnTe nanowires
- auteur
- Marta Orrù, Eric Robin, Martien den Hertog, Kimon Moratis, Yann Genuist, Régis André, David Ferrand, Joel Cibert, Edith Bellet-Amalric
- article
- Physical Review Materials, 2018, 2 (4), pp.043404. ⟨10.1103/PhysRevMaterials.2.043404⟩
- Accès au texte intégral et bibtex
-
- titre
- Local Structure and Lithium Diffusion Pathways in Li 4 Mn 2 O 5 High Capacity Cathode Probed by Total Scattering and XANES
- auteur
- Maria Diaz-Lopez, Melanie Freire, Yves Joly, Claire Colin, Henry Fischer, Nils Blanc, Nathalie Boudet, Valérie Pralong, Pierre Bordet
- article
- Chemistry of Materials, 2018, 30 (9), pp.3060 - 3070. ⟨10.1021/acs.chemmater.8b00827⟩
- Accès au bibtex
-
- titre
- Fabrication and Characterization of Hybrid organic-inorganic electron extraction layers for polymer solar cells towards improved processing robustness and air stability
- auteur
- Donia Fredj, Florent Pourcin, Riva Alkarsifi, Volkan Kilinc, Xianjie Liu, Sadok Ben Dkhil, Nassira Chniba Boudjada, Mats Fahlman, Christine Videlot-Ackermann, Olivier Margeat, Jörg Ackermann, Mohamed Boujelbene
- article
- ACS Applied Materials & Interfaces, 2018, 10 (20), pp.17309-17317. ⟨10.1021/acsami.7b16297⟩
- Accès au bibtex
-
- titre
- Dynamics of a Cr spin in a semiconductor quantum dot: Hole-Cr flip-flops and spin-phonon coupling
- auteur
- A. Lafuente-Sampietro, H. Utsumi, M. Sunaga, K. Makita, H. Boukari, S. Kuroda, L. Besombes
- article
- Physical Review B, 2018, 97 (15), pp.155301. ⟨10.1103/PhysRevB.97.155301⟩
- Accès au bibtex
-
- titre
- Study of magnetic properties of NiZnCu ferrite synthesized by Pechini method and solid-state reactions
- auteur
- Gaëlla Frajer, Mathieu Salaün, Gérard Delette, Hervé Chazal, Olivier Isnard
- article
- AIP Advances, 2018, 8 (4), pp.047801. ⟨10.1063/1.4994035⟩
- Accès au bibtex
-
- titre
- Interference Eraser Experiment Demonstrated with All-Plasmonic Which-Path Marker Based on Reverse Spin Hall Effect of Light
- auteur
- Aline Pham, Airong Zhao, Quanbo Jiang, Joël Bellessa, Cyriaque Genet, Aurélien Drezet
- article
- ACS photonics, 2018, 5 (3), pp.1108-1114. ⟨10.1021/acsphotonics.7b01429⟩
- Accès au bibtex
-
- titre
- Optical absorption and oxygen passivation of surface states in III-nitride photonic devices
- auteur
- Ian Rousseau, Gordon Callsen, Gwenolé Jacopin, Jean-François Carlin, Raphaël Butté, Nicolas Grandjean
- article
- Journal of Applied Physics, 2018, 123 (11), pp.113103. ⟨10.1063/1.5022150⟩
- Accès au bibtex
-
- titre
- Exciton diffusion coefficient measurement in ZnO nanowires under electron beam irradiation
- auteur
- Fabrice Donatini, Julien Pernot
- article
- Nanotechnology, 2018, 29 (10), pp.105703. ⟨10.1088/1361-6528/aaa638⟩
- Accès au bibtex
-
- titre
- High quality Al 2 O 3 /(100) oxygen-terminated diamond interface for MOSFETs fabrication
- auteur
- Thanh-Toan Pham, C. Gutierrez, C. Masante, Nicolas C. Rouger, D. Eon, E. Gheeraert, D. Araujo, Julien Pernot
- article
- Applied Physics Letters, 2018, 112 (10), pp.102103. ⟨10.1063/1.5018403⟩
- Accès au bibtex
-
- titre
- Oxygen termination of homoepitaxial diamond surface by ozone and chemical methods: An experimental and theoretical perspective
- auteur
- Javier Navas, Daniel Araùjo, José Carlos Pinero, Antonio Sánchez-Coronilla, Eduardo Blanco, Pilar Villar, Rodrigo Alcántara, Josep Montserrat, Matthieu Florentin, David Eon, Julien Pernot
- article
- Applied Surface Science, 2018, 433, pp.408-418. ⟨10.1016/j.apsusc.2017.10.065⟩
- Accès au bibtex
-
- titre
- Nickel and platinum in high-temperature H2O + HCl fluids: Implications for hydrothermal mobilization
- auteur
- Lea Scholten, Anke Watenphul, Oliver Beermann, Denis Testemale, Doreen Ames, Christian Schmidt
- article
- Geochimica et Cosmochimica Acta, 2018, 224, pp.187-199. ⟨10.1016/j.gca.2018.01.005⟩
- Accès au bibtex
-
- titre
- A new high temperature reactor for operando XAS: Application for the dry reforming of methane over Ni/ZrO 2 catalyst
- auteur
- Antonio F Aguilar-Tapia, Samy Ould-Chikh, Eric Lahera, Alain Prat, William Delnet, Olivier Proux, Isabelle Kieffer, Jean-Marie Basset, Kazuhiro Takanabe, Jean-Louis Hazemann
- article
- Review of Scientific Instruments, 2018, 89 (3), pp.035109. ⟨10.1063/1.4998929⟩
- Accès au texte intégral et bibtex
-
- titre
- Verwey transition in a magnetite ultrathin film by resonant x-ray scattering
- auteur
- S. Grenier, Aude Bailly, Y. Ramos, M. de Santis, Yves Joly, J.E. Lorenzo, S. Garaudée, M. Frericks, Stephan Arnaud, Nils Blanc, N. Boudet
- article
- Physical Review B, 2018, 97 (10), pp.104403. ⟨10.1103/PhysRevB.97.104403⟩
- Accès au bibtex
-
- titre
- Magnetospatial dispersion of semiconductor quantum wells
- auteur
- L. Kotova, V. Kats, V. Platonov, V. Kochereshko, Régis André, L. Golub
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2018, 97 (12), pp.125302. ⟨10.1103/PhysRevB.97.125302⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural effects in the interstitial solid solution system (La,Ce)(Fe,Si) 13 C x -H: Correlation with hydrogenation kinetics
- auteur
- X. Hai, F. Porcher, C. Mayer, S. Miraglia
- article
- Journal of Applied Physics, 2018, 123 (8), pp.085115. ⟨10.1063/1.5013120⟩
- Accès au bibtex
-
- titre
- High spatial resolution correlated investigation of Zn segregation to stacking faults in ZnTe/CdSe nanostructures
- auteur
- Bastien Bonef, Adeline Grenier, Lionel Gérard, Pierre-Henri Jouneau, Régis André, Didier Blavette, Catherine Bougerol
- article
- Applied Physics Letters, 2018, 112 (9), pp.093102. ⟨10.1063/1.5020440⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of the spin-lattice coupling in M n 3 G a 1 − x S n x N antiperovskites
- auteur
- Kewen Shi, Ying Sun, Claire Colin, Lei Wang, Jun Yan, Sihao Deng, Huiqing Lu, Wenjun Zhao, Yamaura Kazunari, Pierre Bordet, Cong Wang
- article
- Physical Review B, 2018, 97 (5), pp.054110. ⟨10.1103/PhysRevB.97.054110⟩
- Accès au bibtex
-
- titre
- Effects of Co for Mn substitution on the electronic properties of Mn2-xCoxVAl as probed by XPS
- auteur
- Radu Gavrea, Cristian Leostean, Marin Coldea, Olivier Isnard, Viorel Pop, Diana Benea
- article
- Intermetallics, 2018, 93, pp.155-161. ⟨10.1016/j.intermet.2017.12.003⟩
- Accès au bibtex
-
- titre
- Steady State Entanglement beyond Thermal Limits
- auteur
- F. Tacchino, Alexia Auffèves, F. Santos, D. Gerace
- article
- Physical Review Letters, 2018, 120 (6), pp.063604. ⟨10.1103/PhysRevLett.120.063604⟩
- Accès au bibtex
-
- titre
- Electric field distribution using floating metal guard rings edge-termination for Schottky diodes
- auteur
- Khaled Driche, Sarah Rugen, Nando Kaminski, Hitoshi Umezawa, Hajime Okumura, Etienne Gheeraert
- article
- Diamond and Related Materials, 2018, 82, pp.160-164. ⟨10.1016/j.diamond.2018.01.016⟩
- Accès au bibtex
-
- titre
- AlSb intermetallic semiconductor compound formation by solid state reaction after partial amorphization induced by mechanical alloying
- auteur
- Florin Popa, Ionel Chicinas, Olivier Isnard
- article
- Intermetallics, 2018, 93, pp.371-376. ⟨10.1016/j.intermet.2017.11.002⟩
- Accès au bibtex
-
- titre
- Spatially dependent carrier dynamics in single InGaN/GaN core-shell microrod by time-resolved cathodoluminescence
- auteur
- W. Liu, C. Mounir, G. Rossbach, T. Schimpke, A. Avramescu, H.-J. Lugauer, M. Strassburg, U. Schwarz, B. Deveaud, Gwenolé Jacopin
- article
- Applied Physics Letters, 2018, 112 (5), pp.052106. ⟨10.1063/1.5009728⟩
- Accès au bibtex
-
- titre
- Simulation of Surface Resonant X-ray Diffraction
- auteur
- Yves Joly, Antoine Abisset, Aude Bailly, Maurizio de Santis, Farid Fettar, Stéphane Grenier, Danny Mannix, Aline y Ramos, Marie-Claire Saint-Lager, Yvonne Soldo-Olivier, Jean-Marc Tonnerre, Sergey A Guda, Yvonne Gründer
- article
- Journal of Chemical Theory and Computation, 2018, 14 (2), pp.973 - 980. ⟨10.1021/acs.jctc.7b01032⟩
- Accès au texte intégral et bibtex
-
- titre
- Microscopic Insights on the Multiferroic Perovskite-Like [CH 3 NH 3 ][Co(COOH) 3 ] Compound
- auteur
- Lidia Mazzuca, Laura Cañadillas-Delgado, Oscar Fabelo, J. Alberto Rodríguez-Velamazán, Javier Luzon, Oriol Vallcorba, Virginie Simonet, Claire Colin, Juan Rodriguez-Carvajal
- article
- Chemistry - A European Journal, 2018, 24 (2), pp.388-399. ⟨10.1002/chem.201703140⟩
- Accès au bibtex
-
- titre
- Axial p–n junction and space charge limited current in single GaN nanowire
- auteur
- Zhihua Fang, Fabrice Donatini, Bruno Daudin, Julien Pernot
- article
- Nanotechnology, 2018, 29 (1), pp.01LT01. ⟨10.1088/1361-6528/aa9a0e⟩
- Accès au bibtex
-
- titre
- In situ biasing and off-axis electron holography of a ZnO nanowire
- auteur
- Martien den Hertog, Fabrice Donatini, Robert Mcleod, Eva Monroy, Corinne Sartel, Vincent Sallet, Julien Pernot
- article
- Nanotechnology, 2018, 29 (2), pp.025710. ⟨10.1088/1361-6528/aa923c⟩
- Accès au bibtex
-
- titre
- Nanopatterning via Self-Assembly of a Lamellar-Forming Polystyrene-block-Poly(dimethylsiloxane) Diblock Copolymer on Topographical Substrates Fabricated by Nanoimprint Lithography
- auteur
- Dipu Borah, Cian Cummins, Sozaraj Rasappa, Ramsankar Senthamaraikannan, Mathieu Salaün, Marc Zelsmann, George Liontos, Konstantinos Ntetsikas, Apostolos Avgeropoulos, Michael Morris
- article
- Nanomaterials, 2018, 8 (1), pp.32. ⟨10.3390/nano8010032⟩
- Accès au bibtex
-
- titre
- Critical behaviour and filed dependence of magnetic entropy change in K-doped manganites Pr 0.8 Na 0.2−x K x MnO 3 (x = 0.10 and 0.15)
- auteur
- H. Ben Khlifa, R. M’nassri, S. Tarhouni, Y. Regaieg, W. Cheikhrouhou-Koubaa, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Solid State Chemistry, 2018, 257, pp.9-18. ⟨10.1016/j.jssc.2017.09.013⟩
- Accès au bibtex
-
- titre
- Continuous-Variable Triple-Photon States Quantum Entanglement
- auteur
- A. González, A. Borne, B. Boulanger, J. a. Levenson, K. Bencheikh
- article
- Physical Review Letters, 2018, 120 (4), pp.043601. ⟨10.1103/PhysRevLett.120.043601⟩
- Accès au texte intégral et bibtex
-
- titre
- Monitoring long-term evolution of engineered barrier systems using magnets: Magnetic response
- auteur
- N. Rigonat, O. Isnard, S.L. Harley, I.B. Butler
- article
- Journal of Hazardous Materials, 2018, 341, pp.28-35. ⟨10.1016/j.jhazmat.2017.06.064⟩
- Accès au bibtex
-
- titre
- Multiple magnetization steps and plateaus across the antiferromagnetic to ferromagnetic transition in L a 1 − x C e x F e 12 B 6 : Time delay of the metamagnetic transitions
- auteur
- L. Diop, O. Isnard
- article
- Physical Review B, 2018, 97 (1), pp.014436. ⟨10.1103/PhysRevB.97.014436⟩
- Accès au bibtex
-
- titre
- Thermal Decoherence of a Nonequilibrium Polariton Fluid
- auteur
- Sebastian Klembt, Petr Stepanov, Thorsten Klein, Anna Minguzzi, Maxime Richard
- article
- Physical Review Letters, 2018, 120 (3), pp.035301. ⟨10.1103/PhysRevLett.120.035301⟩
- Accès au bibtex
-
- titre
- A new supramolecular chromium(III) complex: Synthesis, structural determination, optical study, magnetic and antibacterial activity
- auteur
- Rihab Dridi, Cyrine Dhieb, Saoussen Namouchi Cherni, Nassira Chniba Boudjada, Najla Sadfi Zouaoui, Mohamed Faouzi Zid
- article
- Journal of Molecular Structure, 2018, 1152, pp.294-302. ⟨10.1016/j.molstruc.2017.09.111⟩
- Accès au bibtex
-
- titre
- Synthesis of high-quality garnet-type Gd3Sc2Al3O12:Ce3+ nanocrystals
- auteur
- Géraldine Dantelle, Denis Testemale, Stéphanie Kodjikian, Alain Ibanez
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2018, Oxide-based materials and devices IX, 10533, pp.1053322. ⟨10.1117/12.2300166⟩
- Accès au bibtex
-
- titre
- Circumventing the miscibility gap in InGaN nanowires emitting from blue to red
- auteur
- Elissa Roche, Yamina Andre, Geoffrey Avit, Catherine Bougerol, Dominique Castelluci, F. Réveret, Evelyne Gil, François Medard, Joël Leymarie, T Jean, Vladimir Dubrovskii, Agnès Trassoudaine
- article
- Nanotechnology, 2018, 29 (46), pp.465602. ⟨10.1088/1361-6528/aaddc1⟩
- Accès au bibtex
-
- titre
- Phase-matched second-harmonic generation in a flux grown KTP crystal ridge optical waveguide
- auteur
- Véronique Boutou, Augustin Vernay, Corinne Felix, Florent Bassignot, Mathieu Chauvet, Dominique Lupinski, Benoit Boulanger
- article
- Optics Letters, 2018, 43 (15), pp.3770. ⟨10.1364/OL.43.003770⟩
- Accès au bibtex
-
- titre
- Hydrogen insertion in the intermetallic GdScGe: a drastic reduction of the dimensionality of the magnetic and transport properties
- auteur
- Tadhg Mahon, Etienne Gaudin, Antoine Villesuzanne, Rodolphe Decourt, Jean-Louis Bobet, Olivier Isnard, Bernard Chevalier, Sophie Tencé
- article
- Inorganic Chemistry, 2018, 57 (22), pp.14230-14239. ⟨10.1021/acs.inorgchem.8b02247⟩
- Accès au texte intégral et bibtex
-
- titre
- Spacetime is as spacetime does
- auteur
- Vincent Lam, Christian Wüthrich
- article
- Studies in History and Philosophy of Science Part B: Studies in History and Philosophy of Modern Physics, 2018, 64, pp.39-51. ⟨10.1016/j.shpsb.2018.04.003⟩
- Accès au bibtex
-
- titre
- Ex-vivo mice mammary glands characterization using energy-dispersive x-ray diffraction and spacially resolved CdZnTe detectors
- auteur
- Vera Feldman, Joachim Tabary, Caroline Paulus, Jean-Louis Hazemann, Odile Filhol, Isabelle Vilgrain, Loick Verger, Guang-Hong Chen, Joseph Lo, Taly Gilat Schmidt
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2018, Medical imaging 2018:Physics of medical imaging, pp.105732B. ⟨10.1117/12.2292866⟩
- Accès au bibtex
-
- titre
- Dehydrogenation process and thermal stability of Mg-Ti-H films in-situ hydrogenated by microwave reactive plasma-assisted co-sputtering technique
- auteur
- I. Iliescu, N. Skryabina, D. Fruchart, A. Bes, S. Rivoirard, Patricia de Rango, A. Lacoste
- article
- Journal of Alloys and Compounds, 2018, 768, pp.157-165. ⟨10.1016/j.jallcom.2018.07.159⟩
- Accès au bibtex
-
- titre
- Red-emitting fluorescent organic@silicate core–shell nanoparticles for bio-imaging
- auteur
- Shridevi Shenoi Perdoor, Xavier Cattoën, Yann Bretonnière, Gwenaelle Eucat, Chantal Andraud, Béatrice Gennaro, Stéphanie Kodjikian, Fabien Dubois, Alain Ibanez
- article
- New Journal of Chemistry, 2018, 42 (18), pp.15353 - 15360. ⟨10.1039/c8nj03297a⟩
- Accès au bibtex
-
- titre
- Phase-matching properties and refined Sellmeier equations of La 3 Ga 55 Nb 05 O 14
- auteur
- Feng Guo, Dazhi Lu, Patricia Segonds, Jérôme Debray, Haohai Yu, Huaijin Zhang, Jiyang Wang, Benoit Boulanger
- article
- Optical Materials Express, 2018, 8 (4), pp.858-864. ⟨10.1364/OME.8.000858⟩
- Accès au bibtex
-
- titre
- Size-dependent trapping behavior and optical emission study of NaYF4 nanorods in optical fiber tip tweezers
- auteur
- Godefroy Leménager, Maud Thiriet, F. Pourcin, Khalid Lahlil, Francesco Valdivia-Valero, Gérard Colas Des Francs, Thierry Gacoin, Jochen Fick
- article
- Optics Express, 2018, 26 (24), pp.32156-32167. ⟨10.1364/OE.26.032156⟩
- Accès au bibtex
-
- titre
- On the dynamic nature of Mo sites for methane dehydroaromatization
- auteur
- Ina Vollmer, Bart van Der Linden, Samy Ould-Chikh, Antonio Aguilar-Tapia, Irina Yarulina, Edy Abou-Hamad, Yuri Sneider, Alma Olivos Suarez, Jean-Louis F Hazemann, Freek Kapteijn, Jorge Gascon
- article
- Chemical Science, 2018, 9 (21), pp.4801-4807. ⟨10.1039/C8SC01263F⟩
- Accès au bibtex
-
- titre
- Validation of the angular quasi-phase-matching theory for the biaxial optical class using PPRKTP
- auteur
- Dazhi Lu, Alexandra Pena Revellez, Patricia Segonds, Jérôme Debray, Simon Joly, Andrius Zukauskas, Fredrik Laurell, Valdas Pasiskevicius, Haohai Yu, Huaijin Zhang, Jiyang Wang, Carlota Canalias, Benoit Boulanger
- article
- Optics Letters, 2018, 43 (17), pp.4276-4279. ⟨10.1364/OL.43.004276⟩
- Accès au bibtex
-
- titre
- Spin-orbital angular momentum tomography of a chiral plasmonic lens using leakage radiation microscopy
- auteur
- Airong Zhao, Aline Pham, Nessim Jebali, Aurélien Drezet
- article
- Optics Letters, 2018, 43 (8), pp.1918-1921. ⟨10.1364/OL.43.001918⟩
- Accès au bibtex
-
- titre
- Impact of Nonhomoepitaxial Defects in Depleted Diamond MOS Capacitors
- auteur
- T. Pham, J. Piñero, A. Maréchal, M. Gutierrez, F. Lloret, D. Eon, E. Gheeraert, Nicolas C. Rouger, D. Araujo, Julien Pernot
- article
- IEEE Transactions on Electron Devices, 2018, 65 (5), pp.1830-1837. ⟨10.1109/TED.2018.2813084⟩
- Accès au bibtex
-
- titre
- Feature issue introduction: Advanced Solid-State Lasers 2017
- auteur
- Benoit Boulanger, Shibin Jiang, Sergey Mirov, Johan Nilsson, Alan Petersen, Fabian Rotermund, Stefano Taccheo, Takunori Taira
- article
- Optical Materials Express, 2018, 8 (5), pp.1246-1252. ⟨10.1364/OME.8.001246⟩
- Accès au bibtex
-
- titre
- A new solvothermal method for the synthesis of size-controlled YAG:Ce single-nanocrystals
- auteur
- Géraldine Dantelle, Denis Testemale, Estelle Homeyer, Alexandra Cantarano, Stéphanie Kodjikian, Christophe Dujardin, Jean-Louis Hazemann, Alain Ibanez
- article
- RSC Advances, 2018, 8 (47), pp.26857-26870. ⟨10.1039/c8ra05914d⟩
- Accès au texte intégral et bibtex
-
- titre
- Rabi oscillations of a quantum dot exciton coupled to acoustic phonons: coherence and population readout
- auteur
- Daniel Wigger, Christian Schneider, Stefan Gerhardt, Martin Kamp, Sven Hofling, Tilmann Kuhn, Jacek Kasprzak
- article
- Optica, 2018, 5 (11), pp.1442-1450. ⟨10.1364/OPTICA.5.001442⟩
- Accès au bibtex
-
- titre
- Quadratic nonlinear optical properties of the organic N-benzyl-2-methyl-4-nitroaniline (BNA) biaxial crystal
- auteur
- Cyril Bernerd, Patricia Segonds, Jérôme Debray, Takashi Notake, Mio Koyama, Hiroaki Minamide, Hiromasa Ito, Benoit Boulanger
- article
- Optics Letters, 2018, 43 (8), pp.1818-1821. ⟨10.1364/OL.43.001818⟩
- Accès au bibtex
-
- titre
- Static strain tuning of quantum dots embedded in a photonic wire
- auteur
- D. Tumanov, N. Vaish, H.-A. Nguyen, Y. Curé, J.-M Gérard, J. Claudon, F. Donatini, J.-P. Poizat
- article
- Applied Physics Letters, 2018, 112 (12), pp.123102. ⟨10.1063/1.5025313⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical fiber nano-tweezers, a complementary approach for micro- and nanoparticle trapping
- auteur
- Jochen Fick
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2018, Optical Manipulation Conference, 10712, pp.1071207. ⟨10.1117/12.2316567⟩
- Accès au bibtex
-
- titre
- Directional light beams by design from electrically driven elliptical slit antennas
- auteur
- Shuiyan Cao, Eric Le Moal, Quanbo Jiang, Aurélien Drezet, Serge Huant, Jean-Paul Hugonin, Gérald Dujardin, Elizabeth Boer-Duchemin
- article
- Beilstein Journal of Nanotechnology, 2018, 9, pp.2361-2371. ⟨10.3762/bjnano.9.221⟩
- Accès au texte intégral et bibtex
-
- titre
- Brownian motion in the pilot wave interpretation of de Broglie and relaxation to quantum equilibrium
- auteur
- Aurélien Drezet
- article
- Annales de la Fondation Louis de Broglie, 2018, 43 (1), pp.23-50
- Accès au texte intégral et bibtex
-
- titre
- Inhomogeneous dynamical mean-field theory of the small polaron problem
- auteur
- Kevin-Davis Richler, Simone Fratini, Sergio Ciuchi, Didier Mayou
- article
- Journal of Physics: Condensed Matter, 2018, 30 (46), pp.465902. ⟨10.1088/1361-648X/aae619⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of the exceptional charge performance of the 0.93Li 4−x Mn 2 O 5 –0.07Li 2 O composite cathode for Li-ion batteries
- auteur
- M. Freire, Maria Diaz-Lopez, Pierre Bordet, Claire V Colin, O. Lebedev, V. Kosova, C. Jordy, D. Chateigner, A. Chuvilin, A. Maignan, Valérie Pralong
- article
- Journal of Materials Chemistry A, 2018, 6 (12), pp.5156 - 5165. ⟨10.1039/C8TA00234G⟩
- Accès au bibtex
-
- titre
- Feature issue introduction: Advanced Solid-State Lasers 2017
- auteur
- Benoit Boulanger, Shibin Jiang, Sergey Mirov, Johan Nilsson, Alan Petersen, Fabian Rotermund, Stefano Taccheo, Takunori Taira
- article
- Optics Express, 2018, 26 (8), pp.11018-11024. ⟨10.1364/OE.26.011018⟩
- Accès au bibtex
-
- titre
- Tuning the solid-state emission of small push-pull dipolar dyes to the far-red through variation of the electron-acceptor group
- auteur
- Sébastien Redon, Gwenaelle Eucat, Martin Ipuy, Erwann Jeanneau, Isabelle Gautier-Luneau, Alain Ibanez, Chantal Andraud, Yann Bretonnière
- article
- Dyes and Pigments, 2018, 156, pp.116-132. ⟨10.1016/j.dyepig.2018.03.049⟩
- Accès au texte intégral et bibtex
-
- titre
- Time-gated triplet-state optical spectroscopy to decipher organic luminophores embedded in rigid matrices
- auteur
- Atul D. Sontakke, Jean-Marie Mouesca, Victor Castaing, Alban Ferrier, Mathieu Salaün, Isabelle Gautier-Luneau, Vincent Maurel, Alain Ibanez, Bruno Viana
- article
- Physical Chemistry Chemical Physics, 2018, 20 (36), pp.23294-23300. ⟨10.1039/C8CP03952F⟩
- Accès au texte intégral et bibtex
-
Communication dans un congrès
- titre
- Transmission electron microscopy combined with in-situ biasing of semiconducting NWs for the study of dopants, surface charges and metal contacts
- auteur
- M. den Hertog
- article
- Inauguration TEMPOS (Transmission Electron Microscopy at Palaiseau Orsay Saclay), Dec 2018, Orsay, France
- Accès au bibtex
-
- titre
- Chemical Functionalization and Self-Assembly to Form Hybrid Structures of Nanoparticles
- auteur
- Annette Delices, Yanxia Hou, Gilles Nogues, Peter Reiss, Didier Gasparutto, Ling Winnie, Kuntheak Kheng
- article
- C’Nano, Dec 2018, Toulon, France
- Accès au bibtex
-
- titre
- Etude in-situ du polymorphisme de MgH2 par diffraction sous haute pression, haute température
- auteur
- L. Laversenne, N Eshraghi, C. Goujon, Pierre Toulemonde, Y. Le Godec, S. Miraglia
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Etude des transformations structurales de couches minces de VO2 envisagées pour des applications de régulation de la chaleur
- auteur
- Michelle M. Villamayor, Aude Bailly, Aline y Ramos, Pierre Bouvier, Stéphane Grenier, Mohamed Chaker, L. Laversenne
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Structure et propriétés de nouveaux assemblages de tétraèdres O(Bi,M)4 élaborés sous pression
- auteur
- Marie Colmont, Céline Darie, Angel Arévalo-López, Olivier Mentré
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Tunable morphology and doping of ZnO nanowires by chemical bath deposition using metal nitrate
- auteur
- Pierre Gaffuri, Estelle Appert, Claire Verrier, Odette Chaix-Pluchery, Laëtitia Rapenne, Mathieu Salaun, Vincent Consonni
- article
- Matériaux 2018: colloque 10: Nanomatériaux, Nanostructures et Intégration dans les Microsystèmes, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Structural effects in the interstitial solid solution La(Fe,Si)CxHy
- auteur
- Salvatore Miraglia, Xueying Hai, Charlotte Mayer, Florence Porcher
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Rubans ferromagnétiques à mémoire de forme hypertrempés de type Ni-Mn-Co-In
- auteur
- Laureline Porcar, Salvatore Miraglia, Hugo Dufour, Richard Haettel, Daniel Bourgault
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Influence des matériaux de départ et des processus de préparation sur les caractéristiques d'alliage FINEMET
- auteur
- Bogdan Neamtu, Olivier Isnard, Salvatore Miraglia, Olivier Geoffroy, Florin Popa, Ionel Chicinas
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Silsesquioxanes pontants fonctionnels : une approche vibrationnelle des relations structure-propriétés
- auteur
- Rozenn Le Parc, Vânia Freitas, Ana M. Cojocariu, Xavier Cattoën, M. Wong Chi Man, John R. Bartlett, Rute A. S. Ferreira, Luis D. Carlos, Jean-Louis Bantignies
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Polarity-dependent selective area growth of ZnO nanorods by chemical bath deposition
- auteur
- Thomas Cossuet, E. Appert, J.-L. Thomassin, F. Donatini, A. M. Lord, Julien Pernot, Vincent Consonni
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Synthèse assistée par micro-onde de nanocristaux d'iodate de lanthane multifonctionnels pour l'élaboration de sondes biologiques
- auteur
- Sylvain Regny, Géraldine Dantelle, Isabelle Gautier-Luneau, Yannick Mugnier, Jérémy Riporto, Ronan Le Dantec
- article
- Matériaux 2018, Nov 2018, Strasbourg, France. pp.3608 - 3618
- Accès au bibtex
-
- titre
- Travel into the crystal
- auteur
- Jean-Louis Hodeau
- article
- Exhibition "Travel into crystal", Kyoto University, Nov 2018, Kyoto, Japan
- Accès au bibtex
-
- titre
- Angular Quasi-Phase-Matching in the biaxial crystal PPRKTP
- auteur
- Dazhi Lu, Alexandra Pena Revellez, Patricia Segonds, Jérôme Debray, Andrius Zukauskas, Fredrik Laurell, Valdas Pasiskevicius, H. Yu, H. Zhang, J Wang, Carlota Canalias, Benoit Boulanger
- article
- Advanced Solid-State Lasers, Nov 2018, Boston MA, United States
- Accès au bibtex
-
- titre
- A flux grown KTP crystal ridge optical waveguide for birefringence phase-matched second-harmonic generation
- auteur
- Véronique Boutou, Augustin Vernay, Corinne Felix, F. Bassignot, Mathieu Chauvet, Dominique Lupinski, Benoit Boulanger
- article
- Advanced Solid-State Lasers, Nov 2018, Boston MA, United States
- Accès au bibtex
-
- titre
- Phase-Matching Conditions and Refined Sellmeier equations up to the near-infrared for THz generation in BNA
- auteur
- Cyril Bernerd, Patricia Segonds, Jérôme Debray, H Ito, H Minamide, Benoit Boulanger
- article
- Advanced Solid-State Lasers, Nov 2018, Boston MA, United States
- Accès au bibtex
-
- titre
- Magnitude and Relative Sign of the Quadratic Nonlinear Coefficients of the BGSe Monoclinic Acentric Crystal
- auteur
- F. Guo, Patricia Segonds, Elodie Boursier, Jérôme Debray, V Badikov, Vladimir Panyutin, D Badikov, Valentin Petrov, Benoit Boulanger
- article
- Advanced Solid-State Lasers, Nov 2018, Boston MA, United States
- Accès au bibtex
-
- titre
- II-VI semiconductors and derivated kesterites structures for photovoltaic conversion
- auteur
- Régis André, Joël Bleuse, Yoann Curé, Frédérique Ducroquet, Louis Grenet, Abdul Aziz Suzon, Henri Mariette
- article
- Frontiers of 21 st century physics and Ioffe Institute, Oct 2018, St Petersburg, Russia
- Accès au bibtex
-
- titre
- Local structure and lithium diffusion pathways in nanostructured Li4Mn2O5 probed by PDF
- auteur
- Pierre Bordet
- article
- ILL Users meeting − workshop on Energy Materials, Oct 2018, Grenoble, France
- Accès au bibtex
-
- titre
- H bond spring behaviour in hybrid silica under pressure
- auteur
- Rozenn Le Parc, Vânia Freitas, G. Creff, M. Wong Chi Man, Xavier Cattoën, Patrick Hermet, Rute A.S. Ferreira, Luis D. Carlos, John R. Bartlett, Jean-Louis Bantignies
- article
- 11eme Forum de technologie des Hautes Pressions, Oct 2018, Sète, France
- Accès au bibtex
-
- titre
- Propriétés structurales et magnétiques des composés Re3Pt4Ge13 (Re = Dy, Ho, Er, Lu) obtenus par synthèse haute pression-haute température
- auteur
- Christine Opagiste, Rose-Marie Galéra, Pierre Bordet, Sébastien Pairis, Murielle Legendre, Céline Goujon
- article
- 11iéme Forum de technologie des Hautes Pressions, Oct 2018, Sète, France
- Accès au bibtex
-
- titre
- Diamond Schottky barrier diodes for power electronics applications
- auteur
- Gaëtan Perez, Juliette Letellier, Aurélien Maréchal, David Eon, Gauthier Chicot, Pierre-Olivier Jeannin, Nicolas Clément, Jean-Paul Rouger, Jean-Luc Schanen
- article
- ECCE 2018, Sep 2018, Portland, United States
- Accès au bibtex
-
- titre
- Effects of Polarity on the Formation and Physical Properties of Selective Area Grown ZnO Nanorods
- auteur
- T. Cossuet, E. Appert, J.-L. Thomassin, F. Donatini, A. M. Lord, Julien Pernot, Vincent Consonni
- article
- E-MRS Fall Meeting, Sep 2018, Warsaw, Poland
- Accès au bibtex
-
- titre
- Monitoring the Morphology and Doping of ZnO Nanowires by Chemical Bath Deposition Using Metal Nitrate
- auteur
- Pierre Gaffuri, E. Appert, C. Verrier, O. Chaix-Pluchery, L. Rapenne, Q. Rafhay, A. Kaminski-Cachopo, A. Ibanez, M. Salaun, Vincent Consonni
- article
- 2018 E-MRS Fall Meeting, Sep 2018, Warsaw, Poland
- Accès au bibtex
-
- titre
- Polarity-dependent formation mechanisms and high electrical conductivity of selective area grown ZnO nanorods
- auteur
- Thomas Cossuet, E. Appert, F. Donatini, A. M. Lord, J.-L. Thomassin, Julien Pernot, Vincent Consonni
- article
- IWZnO 2018, Sep 2018, Warsaw, Poland
- Accès au bibtex
-
- titre
- Investigation of Si3N4 as inert buffer layer for MgH2 thin films
- auteur
- Laetitia Laversenne, Huy Le-Quoc, Marie Coste, Ana Lacoste, Stéphanie Kodjikian
- article
- 3rd International Symposium on Materials for Energy Storage and Conversion (mESC−IS 2018), Sep 2018, Belgrade, Serbia
- Accès au bibtex
-
- titre
- Crystal parametric nonlinear optics for the generation in the mid-infrared
- auteur
- Benoit Boulanger, Patricia Segonds
- article
- International conference on Field Laser Applications in Industry and Research Flair 2018, Sep 2018, Assisi, Italy
- Accès au bibtex
-
- titre
- Relationship between crystal size, morphology and optical properties in garnet-type nanocrystals
- auteur
- Géraldine Dantelle, Alexandra Cantarano, Denis Testemale, Alain Ibanez, Christophe Dujardin
- article
- IEEE 8th International Conference on Nanomaterials: Applications & Properties, Sep 2018, Zatoka, Ukraine
- Accès au bibtex
-
- titre
- New nonlinear crystals for the generation of THz light from optical rectification
- auteur
- Cyril Bernerd, Federico Sanjuan, Emilie Hérault, Jean-François Roux, Jean-Louis Coutaz, T. Notake, M. Koyama, H. Minamide, H. Ito, J. Wang, P. Segonds, B. Boulanger
- article
- Advanced Laser Technologies (ALT'18), Sep 2018, Taragona, Spain
- Accès au bibtex
-
- titre
- Recent studies on new nonlinear crystals generating mid-infrared parametric light
- auteur
- Patricia Segonds, Benoit Boulanger
- article
- Advanced Laser Technologies ALT18, Sep 2018, Tarragone, Spain
- Accès au bibtex
-
- titre
- Decision Making by Classical and Quantum Light
- auteur
- M. Naruse, Nicolas Chauvet, David Jegouso, A Uchida, H Hori, Aurelien Drezet, Benoit Boulanger, Serge Huant, Guillaume Bachelier
- article
- International Symposium on Nonlinear Theory and its Applications (NOLTA’2018), Sep 2018, Tarragone, Spain
- Accès au bibtex
-
- titre
- New generation of lanthanides-free phosphors for white LEDs lighting prepared by the polymeric precursor method
- auteur
- Pierre Gaffuri, M. Salaun, I. Gautier-Luneau, E. Appert, Vincent Consonni, A. Ibanez
- article
- Journées de la Matière Condensée 2018, Aug 2018, Grenoble, France
- Accès au bibtex
-
- titre
- In-situ biasing of semiconducting NWs in transmission electron microscopy: doping quantification and contact formation
- auteur
- M. den Hertog
- article
- JMC Conference, Aug 2018, Grenoble, France
- Accès au bibtex
-
- titre
- Development of the Doping of ZnO Nanowires Using Metal (III) Elements in Aqueous Solution
- auteur
- P. Gaffuri, E. Appert, C. Verrier, O. Chaix-Pluchery, L. Rapenne, E. Sarigiannidou, Q. Rafhay, A. Kaminski-Cachopo, A. Ibanez, M. Salaun, Vincent Consonni
- article
- Journées de la Matière Condensée (JMC 2018), SFP, Aug 2018, Grenoble, France
- Accès au bibtex
-
- titre
- Decision Making by Classical and Quantum Light
- auteur
- M. Naruse, Chauvet N, David Jegouso, Uchida A, Hori H, Aurelien Drezet, Benoit Boulanger, Serge Huant, Guillaume Bachelier
- article
- The 15th international conference on Near-Field Optics, Nanophotonics and related techniques (NOF 18), Aug 2018, Troyes, France
- Accès au bibtex
-
- titre
- Light beams in designed directions from electrically driven elliptical slit antennas
- auteur
- Eric Le Moal, Shuiyan Cao, Quanbo Jiang, Aurélien Drezet, Serge Huant, Jean-Paul Hugonin, Gérald Dujardin, Elizabeth Boer-Duchemin
- article
- International Conference on Near-Field Optics, Nanophotonics and Related Techniques (NFO), Aug 2018, Troyes, France
- Accès au bibtex
-
- titre
- Investigation of the structure of the modulated doubly ordered perovskite NaLaCoWO6 and its reversible phase transition with a colossal temperature hysteresis
- auteur
- Claire Colin, Peng Zuo, C. Darie, Holger Klein
- article
- The 31st European Crystallographic Meeting, ECM31, Aug 2018, Oviedo, Spain
- Accès au bibtex
-
- titre
- Inputs of the analysis of colouring and coloured matters into the integrated study of the rock art site of Nawarla Gabarnmang (Arnhem Land, North Territory – Australia)”
- auteur
- Géraldine Castets, Emilie Chalmin, Bruno David, Jean-Jacques Delannoy, Jean Michel Geneste, R. G Gunn, Pauline Martinetto, M. Katherine
- article
- IFRAO Congress, Aug 2018, Darfo Boario Therme, Italy
- Accès au bibtex
-
- titre
- Role of Yttrium complexes in the white luminescence of phosphors prepared by sol-gel process
- auteur
- Isabelle Gautier-Luneau, Pauline Burner, Mathieu Salaun, Vincent Maurel, Michel Bardet, Jean-Marie Mouesca, Serge Gambarelli, Atul D. Sontakke, Alban Ferrier, Bruno Viana, Alain Ibanez
- article
- 43th International Conference on Coordination Chemistry, Jul 2018, Sendai, Japan
- Accès au bibtex
-
- titre
- Omnidirectional spin noise spectroscopy
- auteur
- Steeve Cronenberger, Chahine Abbas, Hervé Boukari, Denis Scalbert
- article
- 34th International Conference on the Physics of Semiconductors, Jul 2018, Montpellier, France
- Accès au bibtex
-
- titre
- Hystérèse colossale lors de la transition ferroélectrique hybride impropre dans NaLaCoWO6
- auteur
- Claire Colin, Peng Zuo, C. Darie, Holger Klein
- article
- AFC2018, colloque de l'Association Française de Cristallographie, Jul 2018, Lyon, France
- Accès au bibtex
-
- titre
- La tomographie en diffraction électronique en low-dose
- auteur
- Stéphanie Kodjikian, Holger Klein
- article
- Colloque de l'Association Française de Cristallographie 2018, Jul 2018, Lyon, France
- Accès au bibtex
-
- titre
- Les pigments anciens à base de noir de carbone : des mélanges de phases amorphes et cristallines à identifier et quantifier
- auteur
- Pauline Martinetto, Pierre-Olivier Autran, Pierre Bordet, Catherine Dejoie, Jean-Louis Hodeau, Philippe Walter
- article
- Association Française de Cristallographie 2018, Jul 2018, Lyon, France
- Accès au bibtex
-
- titre
- Sr5CuGe9O24 : trois coordinances différentes pour le Ge
- auteur
- Holger Klein, Stéphanie Kodjikian, Claire Colin, C. Darie, Pierre Bordet, Lei Ding
- article
- Colloque de l'Association Française de Cristallographie, Jul 2018, Lyon, France
- Accès au bibtex
-
- titre
- Génération de second harmonique dans un guide d’onde de KTiOPO4 taillé en arête
- auteur
- Véronique Boutou, Augustin Vernay, Corinne Felix, Florent Bassignot, Mathieu Chauvet, Dominique Lupinski, Benoit Boulanger
- article
- JNCO Optique, Jul 2018, Toulouse, France
- Accès au bibtex
-
- titre
- Diodes Schottky en Diamant : augmentation du calibre en courant et parallélisation
- auteur
- Gaetan Perez, Juliette Letellier, Aurélien Maréchal, David Eon, Gauthier Chicot, Pierre-Olivier Jeannin, Nicolas C. Rouger
- article
- Symposium de Génie Electrique, Université de Lorraine [UL], Jul 2018, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- Transistors Haute Tension de type MOSFET et MESFET en diamant pour l'électronique de puissance
- auteur
- Cédric Masante, Khaled Driche, Thanh-Toan Pham, Hitoshi Umezawa, Gaetan Perez, Julien Pernot, Nicolas C. Rouger, Toshiharu Makino, David Eon, Gauthier Chicot, Hajime Okumura, Etienne Gheeraert
- article
- Symposium de Génie Electrique, Université de Lorraine [UL], Jul 2018, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- In-situ powder diffraction study of molecular compounds under high energy milling: from amorphization to solid state transformation
- auteur
- Pierre Bordet, Pauline Martinetto, Jean-François Willart, Marc Descamps, Emeline Dudognon
- article
- EPDIC 16 (16th European Powder Diffraction Conference), Jul 2018, Edimbourg, United Kingdom
- Accès au bibtex
-
- titre
- Applications of the Pair Distribution Function analysis: from pharmaceuticals to electrochemistry and cultural heritage materials
- auteur
- Pierre Bordet
- article
- E-MRS Spring meeting, Jun 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- New generation of aluminum borate phosphors for white LEDs lighting prepared by the polymeric precursor method (modified Pechini)
- auteur
- Mathieu Salaun, Isabelle Gautier-Luneau, Michel Bardet, Vincent Maurel, Atul D. Sontakke, Bruno Viana, Alain Ibanez
- article
- European Material Research Society Spring Meeting, Jun 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Chemical Functionalization and Self-Assembly to Form Hybrid Structures of Nanoparticles
- auteur
- Annette Delices, Yanxia Hou, Gilles Nogues, Peter Reiss, Didier Gasparutto, Ling Winnie, Kuntheak Kheng
- article
- Journées plénières du GDR "bio-ingénierie des interfaces, Jun 2018, Besançon, France
- Accès au bibtex
-
- titre
- Approach of cultural and human practices from 50,000 years ago at the rock art site of Nawarla Gabarnmang (Arnhem Land, North Territory – Australia)
- auteur
- Géraldine Castets, Emilie Chalmin, Bruno David, Jean-Jacques Delannoy, Jean Michel Geneste, R. G Gunn, Pauline Martinetto, M. Katherine
- article
- XVIIIe Congrès Mondial de l’UISPP (Union des Sciences Préhistoriques et Protohistoriques), Jun 2018, Paris, France
- Accès au bibtex
-
- titre
- Fast forging of magnesium and Mg-Ni compounds
- auteur
- Patricia de Rango, Daniel Fruchart, Nataliya Skryabina
- article
- 1st International Workshop on Mechanochemistry of Metal hydrides (WMMH-18), May 2018, Oslo, Norway
- Accès au bibtex
-
- titre
- New generation of aluminum borate phosphors for white LEDs lighting prepared by the polymeric precursor method
- auteur
- P. Gaffuri, M. Salaün, I. Gautier-Luneau, A. Ibanez, E. Appert, Vincent Consonni
- article
- 13ème Journée Sol-Gel Auvergne-Rhône-Alpes, Apr 2018, St Etienne, France
- Accès au bibtex
-
- titre
- Oxide phase characterization in simulated high burn-up UO$_2$ fuels in the early stages of a nuclear severe accident
- auteur
- C. Le Gall, E. Geiger, O. Proux, M. Rovezzi, P.L. Solari, M. Hunault, V. Klosek, C. Riglet Martial, J. Léchelle, F. Audubert, Yves Pontillon, Jean-Louis F Hazemann, P. Colomp
- article
- The 2018 MRS Spring Meeting & Exhibit, Apr 2018, Phoenix, United States
- Accès au texte intégral et bibtex
-
- titre
- Femtosecond nonlinear interactions in the Langatate LGT: Characterization of a new middle infrared nonlinear crystal
- auteur
- Elodie Boursier, Giedre Marja Archipovaite, Jean-Christophe Delagnes, Stephane Petit, Guilmot Ernotte, Philippe Lassonde, Yannick Petit, Patricia Segonds, Benoit Boulanger, François Legaré, D Roshchchupkin, Eric Cormier
- article
- Mid-Infrared Coherence Sources MICS, Mar 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Magnitude of the nonlinear coefficients of the monoclinic crystal BaGa4Se7
- auteur
- F. Guo, Patricia Segonds, Jérôme Debray, V Badikov, Vladimir Panyutin, D Badikov, Valentin Petrov, Benoit Boulanger
- article
- Mid-Infrared Coherence Sources MICS, Mar 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Refined Sellmeier equations up to the near-infrared in the organic N-benzyl-2-methyl-4-nitroaniline (BNA) crystal
- auteur
- Cyril Bernerd, Patricia Segonds, Jérôme Debray, T Notake, M Koyama, H Minamide, H Ito, Benoit Boulanger
- article
- Mid-Infrared Coherence Sources MICS, Mar 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Angular Quasi-Phase-Matching in a sphere of PPRKTP
- auteur
- Dazhi Lu, Alexandra Pena Revellez, Patricia Segonds, Jérôme Debray, Fredrik Laurell, Valdas Pasiskevicius, Carlota Canalias, Benoit Boulanger
- article
- Mid-Infrared Coherence Sources MICS, Mar 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Hybrid Improper Ferroelectricity : extension of the Doubly Ordered Perovskites Family NaLnCoWO6 with the help of High Pressure-High Temperature synthesis
- auteur
- Céline Darie, Peng Zuo, Claire V Colin, Holger Klein, Pierre Bordet, Murielle Legendre, Céline Goujon, Emmanuelle Suard, Erik Elkaim
- article
- Journées Nationales 2018 du GDR OXYFUN, Mar 2018, Piriac sur Mer, France
- Accès au bibtex
-
- titre
- Study of Potential Fluctuations in CZTSSe single crystal and Thin Film Solar Cells by Optical and Admittance Spectroscopy
- auteur
- Henri Mariette
- article
- Symposium on Energy Materials Science and Technology, Tsukuba Research Center for Energy Materials Science, University of Tsukuba, Mar 2018, Tsukuba, Japan
- Accès au bibtex
-
- titre
- Lateral diamond Schottky diodes on heteroepitaxial substrate
- auteur
- Juliette Letellier, Etienne Gheeraert, D. Eon, Guillaume Saint-Girons, R. Bachelet, L. Mehmel, R. Issaoui, Alexandre Tallaire, Jocelyn Achard, Ingrid Stenger, J. Barjon, K. H. Lee, Julien Delchevalrie, Nicolas Tranchant, Samuel Saada, Jean-Charles Arnault
- article
- SBDD XXIII Hasselt Diamond Workshop, Mar 2018, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Improved electronic properties of p-epitaxial layers grown on HPHT (100) substrates after a surface pretreatment
- auteur
- Cyrille Barbay, Christine Mer-Calfati, Nicolas Tranchant, Samuel Saada, Jean-Charles Arnault, Ingrid Stenger, Verena Zuerbig, N. Lang, Lutz Kirste, Oluwasayo Loto, David Eon
- article
- SBDD XXIII - Hasselt Diamond Workshop 2018, Hasselt university, Mar 2018, Hasselt (Belgium), Belgium. pp.147
- Accès au texte intégral et bibtex
-
- titre
- Synthesis of high-quality garnet-type nanocrystals with controlled size
- auteur
- Géraldine Dantelle, Denis Testemale, Estelle Homeyer, Christophe Dujardin, Alain Ibanez
- article
- Photonics West, Feb 2018, San Francisco, United States
- Accès au bibtex
-
- titre
- Comparison between thermomagnetic and thermoelectric generators
- auteur
- Morgan Almanza, Alexandre Pasko, Frédéric Mazaleyrat, Martino Lobue
- article
- IEEE AIM 2018, Feb 2018, La Thuile, Italy. pp.22 - 64
- Accès au bibtex
-
- titre
- Lanthanide-free amorphous aluminum-borate micropowders: Broadband emitting phosphors for warm-white LED lighting
- auteur
- Mathieu Salaun, Atul D. Sontakke, Vincent Maurel, Michel Bardet, Jean-Marie Mouesca, Serge Gambarelli, Alban Ferrier, Bruno Viana, Isabelle Gautier-Luneau, Alain Ibanez
- article
- SPIE Photonics West, SPIE, Jan 2018, San Francisco, United States
- Accès au bibtex
-
- titre
- Spatial modes for testing indefinite causal order
- auteur
- Jacquiline Romero, Kaumudibikash Goswami, Christina Giarmatzi, Fábio M Costa, Cyril Branciard, Andrew White, Andrew Andrews, Enrique Galvez, Jesper Glückstad
- article
- Complex Light and Optical Forces XII, Jan 2018, San Francisco, United States. pp.1054908, ⟨10.1117/12.2292732⟩
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Carrier mobility in diamond: from material to devices
- auteur
- Julien Pernot
- article
- Satoshi Koizumi; Hitoshi Umezawa; Julien Pernot; Mariko Suzuki. Power Electronics Device Applications of Diamond Semiconductors, Woodhead Publishing, 2018
- Accès au bibtex
-
- titre
- Schottky diodes and MESFETs
- auteur
- David Eon, Hitoshi Umezawa
- article
- Satoshi Koizumi; Hitoshi Umezawa; Julien Pernot; Mariko Suzuki. Power Electronics Device Applications of Diamond Semiconductors, Woodhead Publishing, 2018
- Accès au bibtex
-
- titre
- Metal oxide semiconductor interface and transmission electron microscopy characterization
- auteur
- Daniel Araujo, Julien Pernot
- article
- Satoshi Koizumi; Hitoshi Umezawa; Julien Pernot; Mariko Suzuki. Power Electronics Device Applications of Diamond Semiconductors, Woodhead Publishing, 2018
- Accès au bibtex
-
- titre
- Borohydride compounds
- auteur
- L Laversenne
- article
- E. Burzo. Hydrogen Storage Materials, 8, Springer-Verlag Berlin Heidelberg, 2018, Landolt-Börnstein: Numerical Data and Functional Relationships in Science and Technology - New Series, 978-3662542590
- Accès au bibtex
-
- titre
- Power electronic devices performances based on diamond properties
- auteur
- E. Gheeraert
- article
- S. Koizumi; H. Umezawa; J. Pernot; M. Suzuki. Power Electronics Device Applications of Diamond Semiconductors, Woodhead Publishing Elsevier, 2018, 978-0-08-102183-5
- Accès au bibtex
-
HDR
- titre
- Characterization of semiconducting nanowires by transmission electron microscopy
- auteur
- M. den Hertog
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble - Alpes, 2018
- Accès au texte intégral et bibtex
-
Ouvrages
- titre
- Power Electronics Device Applications of Diamond Semiconductors
- auteur
- Satoshi Koizumi, Hitoshi Umezawa, Julien Pernot, M. Suzuki
- article
- Satoshi Koizumi; Hitoshi Umezawa; Julien Pernot; Mariko Suzuki. Woodhead Publishing, 2018
- Accès au bibtex
-
- titre
- Hydrogen Storage Materials
- auteur
- Valérie Paul-Boncour, Annick Percheron-Guegan, Fermin Cuevas, Michel Latroche, Jean-Marc Joubert, L. Laversenne, Raphaël Janot, Roger Gadiou, Camelia Matei Ghimbeu, Cathie Vix-Guterl, M. Jurczyk
- article
- Emil Burzo. Springer Berlin Heidelberg, 8, 2018, Advanced Materials and Technologies, H. Landolt and R. Börnstein, ⟨10.1007/978-3-662-54261-3⟩
- Accès au bibtex
-
Poster de conférence
- titre
- Expériences in situ sur le CRG- D1B par couplage de mesure thermogravimétrique et diffraction de neutrons
- auteur
- S. Djellit, V. Nassif, Sabine Douillet, Claire Colin, L. Laversenne
- article
- Matériaux 2018, Nov 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- Development of Atomic Layer Etching (ALEt) for GaN-based materials
- auteur
- Congying You, C. Mannequin, G. Jacopin, T. Chevolleau, C. Durand, C. Vallee, H. Mariette, M. Sasaki, E. Gheeraert
- article
- International Workshop on Nitride Semiconductors, Nov 2018, Kanazawa, Japan
- Accès au texte intégral et bibtex
-
- titre
- Ultralong spin relaxation time of donor bound electrons in n-doped CdTe measured by spin noise spectroscopy
- auteur
- Chahine Abbas, Steeve Cronenberger, Hervé Boukari, Denis Scalbert
- article
- Fifty years of optical orientation in semiconductors, Jun 2018, Paris, France
- Accès au bibtex
-
- titre
- Revealing the spectral response of a plasmonic structure using tunnel electrons
- auteur
- Eric Le Moal, Shuiyan Cao, Aurélien Drezet, Serge Huant, Jean-Paul Hugonin, Jean-Jacques Greffet, Gérald Dujardin, Elizabeth Boer-Duchemin
- article
- Journées Surface et Interfaces (JSI), Jan 2018, Strasbourg, France
- Accès au bibtex
-
- titre
- High precision phase and frequency measurement in rare earth doped crystals at cryogenic temperature for probing nanoresonator behavior
- auteur
- Nicolas Galland, Nemanja Lucic, Savino Piccolomo, Héctor Alvarez Martinez, Rodolphe Le Targat, Alban Ferrier, Jean-François Motte, Bess Fang, Philippe Goldner, Signe Seidelin, Yann Le Coq
- article
- International Conference on Atomic Physics, 2018, Barcelone, Spain. 2018
- Accès au bibtex
-
Thèse
- titre
- Diamond unipolar devices : towards impact ionization coefficients extraction
- auteur
- Khaled Driche
- article
- Micro and nanotechnologies/Microelectronics. Université Grenoble Alpes; Université de Tsukuba, 2018. English. ⟨NNT : 2018GREAT115⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum optics of 1D atoms with application to spin-photon interfaces
- auteur
- Bogdan Reznychenko
- article
- Quantum Physics [quant-ph]. Université Grenoble Alpes, 2018. English. ⟨NNT : 2018GREAY079⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation de la génération de second harmonique dans des nanostructures plasmoniques
- auteur
- Maeliss Ethis de Corny
- article
- Optique [physics.optics]. Université Grenoble Alpes (France), 2018. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation de la chiralité optique dans des systèmes plasmoniques
- auteur
- Kim Anh Aline Pham
- article
- Matière Condensée [cond-mat]. Université Grenoble Alpes, 2018. Français. ⟨NNT : 2018GREAY051⟩
- Accès au texte intégral et bibtex
-
- titre
- Mélange d'ondes dans des nano-structures plasmoniques hybrides
- auteur
- Guillaume Laurent
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2018. Français. ⟨NNT : 2018GREAY046⟩
- Accès au texte intégral et bibtex
-
- titre
- Génération d'ondes TeraHertz par Différence de Fréquence
- auteur
- Cyril Bernerd
- article
- Optique / photonique. Université Grenoble Alpes, 2018. Français. ⟨NNT : 2018GREAT061⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanoparticules fluorescentes cœur-coquille organique@silicates pour l'imagerie vasculaire in vivo
- auteur
- Shridevi Shenoi Perdoor
- article
- Matériaux. Université Grenoble Alpes, 2018. Français. ⟨NNT : 2018GREAV063⟩
- Accès au texte intégral et bibtex
-
- titre
- Axial GaAs/InAs nanowire heterostructures for photonic applications on Si
- auteur
- Daria Vyacheslavovna Beznasyuk
- article
- Condensed Matter [cond-mat]. Université Grenoble Alpes, 2018. English. ⟨NNT : 2018GREAY032⟩
- Accès au texte intégral et bibtex
-
- titre
- Quadratic nonlinear optical properties of La3Ga5.5Nb0.5O14 (LGN) and periodically-poled Rb : KTiOPO4 (PPRKTP) crystals
- auteur
- Dazhi Lu
- article
- Cristallography. Université Grenoble Alpes; Shandong University (Jinan, Chine), 2018. English. ⟨NNT : 2018GREAT042⟩
- Accès au texte intégral et bibtex
-
- titre
- Studies of new nonlinear crystals for infrared parametric generation with the broadest spectral bandwidth
- auteur
- Feng Guo
- article
- Optics / Photonic. Université Grenoble Alpes, 2018. English. ⟨NNT : 2018GREAT047⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectroscopie cohérente des excitons dans des nanostructures semi-conductrices innovantes
- auteur
- Valentin Delmonte
- article
- Autre [cond-mat.other]. Université Grenoble Alpes, 2018. Français. ⟨NNT : 2018GREAY015⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical control of the spin of a magnetic atom in a semiconductor : hybrid hole-Manganese spin and Chromium spin
- auteur
- Alban Lafuente-Sampietro
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes; Université de Tsukuba, 2018. English. ⟨NNT : 2018GREAY003⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthèses, mise en forme et étude des propriétés magnétiques de ferrites (NiZnCuCo)Fe2O4 en fréquence
- auteur
- Gaëlla Frajer
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2018. Français. ⟨NNT : 2018GREAY002⟩
- Accès au texte intégral et bibtex
-
2017
Article dans une revue
- titre
- Covariance Bell inequalities
- auteur
- Victor Pozsgay, Flavien Hirsch, Cyril Branciard, Nicolas Brunner
- article
- Physical Review A, 2017, 96 (6), pp.062128. ⟨10.1103/PhysRevA.96.062128⟩
- Accès au texte intégral et bibtex
-
- titre
- Genuinely multipartite noncausality
- auteur
- Alastair A. Abbott, Julian Wechs, Fabio Costa, Cyril Branciard
- article
- Quantum, 2017, 1, pp.39. ⟨10.22331/q-2017-12-14-39⟩
- Accès au bibtex
-
- titre
- Comprehensive analyses of core–shell InGaN/GaN single nanowire photodiodes
- auteur
- H. Zhang, N Guan, V Piazza, A Kapoor, C. Bougerol, J Julien, a V Babichev, C Cavassilas, M. Bescond, M Michelini, M. Foldyna, E. Gautier, Christophe Durand, J. Eymery, M. Tchernycheva
- article
- Journal of Physics D: Applied Physics, 2017, 50 (48), pp.484001. ⟨10.1088/1361-6463/aa935d⟩
- Accès au bibtex
-
- titre
- Effect of Ge-doping on the short-wave, mid- and far-infrared intersubband transitions in GaN/AlGaN heterostructures
- auteur
- Caroline B. Lim, Akhil Ajay, Jonas Lähnemann, Catherine Bougerol, Eva Monroy
- article
- Semiconductor Science and Technology, 2017, 32 (12), pp.125002. ⟨10.1088/1361-6641/aa919c⟩
- Accès au bibtex
-
- titre
- Manifestation of PT symmetry in the exciton spectra of quantum wells
- auteur
- V. Kochereshko, L. Kotova, S. Khakhalin, R. Cox, H. Mariette, Régis André, H. Boukari, S. Ivanov
- article
- Fizika i tekhnika poluprovodnicov / Semiconductors, 2017, 51 (12), pp.1547 - 1551. ⟨10.1134/S1063782617120119⟩
- Accès au bibtex
-
- titre
- Luminescent coatings prepared from optimized YAG:Ce nanoparticles
- auteur
- Géraldine Dantelle, Mathieu Salaun, Rémy Bruyère, Stéphanie Kodjikian, Alain Ibanez
- article
- Thin Solid Films, 2017, 643, pp.36-42. ⟨10.1016/j.tsf.2017.05.001⟩
- Accès au bibtex
-
- titre
- The role of quantum measurement in stochastic thermodynamics
- auteur
- Cyril Elouard, David A. Herrera-Martí, Maxime Clusel, Alexia Auffèves
- article
- npj Quantum Information, 2017, 3, pp.9. ⟨10.1038/s41534-017-0008-4⟩
- Accès au bibtex
-
- titre
- Nondestructive Method for the Determination of the Electric Polarization Orientation in Thin Films: Illustration on Gallium Ferrite Thin Films
- auteur
- Chistophe Lefevre, Anna Demchenko, Corinne Bouillet, Martina Martin, Xavier Devaux, François Roulland, Gilles Versini, Sophie Barré, Yusuke Wakabayashi, Nathalie Boudet, Cédric Leuvrey, Manuel Acosta, Christian Mény, Elodie Martin, Stéphane Grenier, Vincent Favre-Nicolin, Nathalie Viart
- article
- Small Methods, 2017, 1 (12), pp.1700234. ⟨10.1002/smtd.201700234⟩
- Accès au bibtex
-
- titre
- Experimental Verification of Entanglement Generated in a Plasmonic System
- auteur
- F. Dieleman, M. Tame, Y. Sonnefraud, M. Kim, S. Maier
- article
- Nano Letters, 2017, 17 (12), pp.7455-7461. ⟨10.1021/acs.nanolett.7b03372⟩
- Accès au bibtex
-
- titre
- Comprehensive Study of Oxygen Storage in YbFe2O4+x (x ≤ 0.5): Unprecedented Coexistence of FeOn Polyhedra in One Single Phase
- auteur
- Sarah Nicoud, Marielle Huvé, Olivier J. Hernandez, Alain Pautrat, Mathieu Duttine, Alain Wattiaux, Claire Colin, Houria Kabbour, Olivier Mentré
- article
- Journal of the American Chemical Society, 2017, 139 (47), pp.17031-17043. ⟨10.1021/jacs.7b06409⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhanced Photon Extraction from a Nanowire Quantum Dot Using a Bottom-Up Photonic Shell
- auteur
- Mathieu Jeannin, Thibault Cremel, Teppo Häyrynen, Niels Gregersen, Edith Bellet-Amalric, Gilles Nogues, Kuntheak Kheng
- article
- Physical Review Applied, 2017, 8 (5), pp.054022. ⟨10.1103/PhysRevApplied.8.054022⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence of Organic Luminescent Centers in Sol-Gel-Synthesized Yttrium Aluminum Borate Matrix Leading to Bright Visible Emission
- auteur
- Pauline Burner, Atul D. Sontakke, Mathieu Salaün, Michel Bardet, Jean-Marie Mouesca, Serge Gambarelli, Anne-Laure Barra, Alban Ferrier, Bruno Viana, Alain Ibanez, Vincent Maurel, Isabelle Gautier-Luneau
- article
- Angewandte Chemie International Edition, 2017, 56 (45), pp.13995-13998. ⟨10.1002/anie.201706070⟩
- Accès au bibtex
-
- titre
- The entropic approach to causal correlations
- auteur
- Nikolai Miklin, Alastair A. Abbott, Cyril Branciard, Rafael Chaves, Costantino Budroni
- article
- New Journal of Physics, 2017, 19 (11), pp.113041. ⟨10.1088/1367-2630/aa8f9f⟩
- Accès au bibtex
-
- titre
- From n = 1 to n = 2 of the Ruddlesden−Popper Phases via Ca-doping and induced effects on electrical and optical properties of La 2-x Ca x CuO 4-δ
- auteur
- Adnene Midouni, Mohamed Ikbal Houchati, Nassira Chniba-Boudjada, Ahmed Hichem Hamzaoui
- article
- Journal of Physics and Chemistry of Solids, 2017, 110, pp.76-86. ⟨10.1016/j.jpcs.2017.05.026⟩
- Accès au bibtex
-
- titre
- Characterisation of the Fe-10 wt% Si nanocrystalline powder obtained by mechanical alloying and annealing
- auteur
- C.D. Stanciu, T.F. Marinca, I. Chicinaş, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2017, 441, pp.455-464. ⟨10.1016/j.jmmm.2017.06.010⟩
- Accès au bibtex
-
- titre
- MPCVD Diamond Lateral Growth Through Microterraces to Reduce Threading Dislocations Density
- auteur
- Fernando Lloret, Marina Gutierrez, Daniel Araùjo, David Eon, Etienne Bustarret
- article
- physica status solidi (a), 2017, 214 (11), pp.1700242. ⟨10.1002/pssa.201700242⟩
- Accès au bibtex
-
- titre
- Magnetic ordering of Mo 2 NiB 2 -type {Gd, Tb, Dy) 2 Co 2 Al compounds by magnetization and neutron diffraction study
- auteur
- A.V. Morozkin, V.K. Genchel, A.V. Garshev, V.O. Yapaskurt, O. Isnard, Jinlei Yao, R. Nirmala, S. Quezado, S. Malik
- article
- Journal of Magnetism and Magnetic Materials, 2017, 442, pp.36-44. ⟨10.1016/j.jmmm.2017.06.090⟩
- Accès au bibtex
-
- titre
- Influence of Cu Doping on the Electronic Structure and Magnetic Properties of the Mn 2 VAl Heusler Compound
- auteur
- Radu Gavrea, Alfred Bolinger, Viorel Pop, Olivier Isnard, Marin Coldea, Diana Benea
- article
- physica status solidi (b), 2017, 254 (11), pp.1700160. ⟨10.1002/pssb.201700160⟩
- Accès au bibtex
-
- titre
- Dimensioning and shaping of inductors for power converters
- auteur
- Gaëlla Frajer, Gérard Delette, Cyril Rado, Hervé Chazal, Pierre Perichon, Olivier Isnard, Florence Servant
- article
- EPE Journal - European Power Electronics and Drives, 2017, 27 (3), pp.98-105. ⟨10.1080/09398368.2017.1388623⟩
- Accès au bibtex
-
- titre
- Deep depletion concept for diamond MOSFET
- auteur
- T. Pham, Nicolas C. Rouger, C. Masante, G. Chicot, F. Udrea, D. Eon, E. Gheeraert, Julien Pernot
- article
- Applied Physics Letters, 2017, 111 (17), pp.173503. ⟨10.1063/1.4997975⟩
- Accès au bibtex
-
- titre
- Structural Transformations of d -Mannitol Induced by in Situ Milling Using Real Time Powder Synchrotron Radiation Diffraction
- auteur
- Pauline Martinetto, Pierre Bordet, Marc Descamps, Emeline Dudognon, William Pagnoux, Jean-François Willart
- article
- Crystal Growth & Design, 2017, 17 (11), pp.6111 - 6122. ⟨10.1021/acs.cgd.7b01283⟩
- Accès au bibtex
-
- titre
- Diamond Schottky diodes operating at 473 K
- auteur
- Richard Monflier, Karine Isoird, Alain Cazarré, Josiane Tasselli, Alexandra Servel, Jocelyn Achard, David Eon, Maria José Valdivia Birnbaum
- article
- EPE Journal - European Power Electronics and Drives, 2017, 27 (3), pp.118-124. ⟨10.1080/09398368.2017.1388625⟩
- Accès au texte intégral et bibtex
-
- titre
- Near-Infrared Intersubband Photodetection in GaN/AlN Nanowires
- auteur
- Jonas Lähnemann, Akhil Ajay, Martien L den Hertog, Eva Monroy
- article
- Nano Letters, 2017, 17 (11), pp.6954 - 6960. ⟨10.1021/acs.nanolett.7b03414⟩
- Accès au bibtex
-
- titre
- Mechanism of reverse current increase of vertical-type diamond Schottky diodes
- auteur
- T. Teraji, A. Fiori, N. Kiritani, S. Tanimoto, E. Gheeraert, Y. Koide
- article
- Journal of Applied Physics, 2017, 122 (13), pp.135304. ⟨10.1063/1.4994570⟩
- Accès au bibtex
-
- titre
- Effect of doping on the intersubband absorption in Si- and Ge-doped GaN/AlN heterostructures
- auteur
- A. Ajay, C Lim, D Browne, J. Polaczyński, E. Bellet-Amalric, J. Bleuse, M. den Hertog, E. Monroy
- article
- Nanotechnology, 2017, 28 (40), pp.405204. ⟨10.1088/1361-6528/aa8504⟩
- Accès au bibtex
-
- titre
- Manifestation of Planar and Bulk Chirality Mixture in Plasmonic Λ-Shaped Nanostructures Caused by Symmetry Breaking Defects
- auteur
- Aline Pham, Quanbo Jiang, Airong Zhao, Joël Bellessa, Cyriaque Genet, Aurélien Drezet
- article
- ACS photonics, 2017, 4 (10), pp.2453-2460. ⟨10.1021/acsphotonics.7b00666⟩
- Accès au bibtex
-
- titre
- Ni-Mn-Sn Heusler: milling and annealing effect on structural and magnetic properties
- auteur
- Florin Popa, Florin Marinca, Florin Chicinaş, Olivier Isnard, Ionel Chicinaş
- article
- Journal of Physics: Conference Series, 2017, 903, pp.012045. ⟨10.1088/1742-6596/903/1/012045⟩
- Accès au bibtex
-
- titre
- Probing quantum fluctuation theorems in engineered reservoirs
- auteur
- Cyril Elouard, N K Bernardes, A Carvalho, M F Santos, A. Auffèves
- article
- New Journal of Physics, 2017, 19 (10), pp.103011. ⟨10.1088/1367-2630/aa7fa2⟩
- Accès au bibtex
-
- titre
- Magnetic and magnetocaloric properties of Er(Co 1− x Fe x ) 2 intermetallic compounds
- auteur
- Patricia de Rango, I. Chaaba, S. Othmani, S. Haj-Khlifa, D. Fruchart, W. Cheikhrouhou, A. Cheikhrouhou
- article
- Journal of Magnetism and Magnetic Materials, 2017, 439, pp.269 - 276. ⟨10.1016/j.jmmm.2017.05.033⟩
- Accès au bibtex
-
- titre
- Structural disorder effect on the structural and magnetic properties of Pr0.4Re0.1Sr0.5−yBayMnO3 manganites (Re = Pr, Sm, Eu, Gd, Dy and Ho)
- auteur
- M. Bourouina, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Ceramics International, 2017, 43 (15), pp.12311-12320. ⟨10.1016/j.ceramint.2017.06.094⟩
- Accès au bibtex
-
- titre
- Studies on the structure, critical behavior and magnetocaloric effect in (LaBi)SrCoO cobaltite
- auteur
- F. Saadaoui, R. M’nassri, A. Mleiki, M. Koubaa, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Materials Science: Materials in Electronics, 2017, 28 (20), pp.15500-15511. ⟨10.1007/s10854-017-7438-9⟩
- Accès au bibtex
-
- titre
- Crystal structure, Hirshfeld surface analysis, vibrational, thermal behavior and UV spectroscopy of (2,6-diaminopyridinium) dihydrogen arsenate
- auteur
- Emna Bouaziz, Chawki Ben Hassen, Nassira Chniba-Boudjada, Abdelaziz Daoud, Tahar Mhiri, Mohamed Boujelbene
- article
- Journal of Molecular Structure, 2017, 1145, pp.121-131. ⟨10.1016/j.molstruc.2017.05.043⟩
- Accès au bibtex
-
- titre
- Exploring coherence of individual excitons in InAs quantum dots embedded in natural photonic defects: Influence of the excitation intensity coherent nonlinear spectroscopy
- auteur
- D. Wigger, Q. Mermillod, T. Jakubczyk, F. Fras, S. Le Denmat, D. E. Reiter, S. Höfling, M. Kamp, G. Nogues, C. Schneider, T. Kuhn, J. Kasprzak
- article
- Physical Review B, 2017, 96 (16), pp.165311. ⟨10.1103/PhysRevB.96.165311⟩
- Accès au bibtex
-
- titre
- Measurement back action and spin noise spectroscopy in a charged cavity QED device in the strong coupling regime
- auteur
- D. Smirnov, B. Reznychenko, A. Auffèves, L. Lanco
- article
- Physical Review B, 2017, 96 (16), pp.165308. ⟨10.1103/PhysRevB.96.165308⟩
- Accès au bibtex
-
- titre
- Hydrogen dynamics in the hexagonal Ho 2 Fe 17 H 4 and Y 2 Fe 17 H 4.2 : Inelastic and quasielastic neutron scattering studies
- auteur
- A.V. Skripov, O. Isnard, N.V. Mushnikov, P.B. Terent'Ev, V.S. Gaviko, T.J. Udovic
- article
- Journal of Alloys and Compounds, 2017, 720, pp.277-283. ⟨10.1016/j.jallcom.2017.05.300⟩
- Accès au bibtex
-
- titre
- Afterglow Luminescence in Wet-Chemically Synthesized Inorganic Materials: Ultra-Long Room Temperature Phosphorescence Instead of Persistent Luminescence
- auteur
- Atul D. Sontakke, Alban Ferrier, Pauline Burner, Vinicius Guimaraes, Mathieu Salaün, Vincent Maurel, Isabelle Gautier-Luneau, Alain Ibanez, Bruno Viana
- article
- Journal of Physical Chemistry Letters, 2017, 8 (19), pp.4735 - 4739. ⟨10.1021/acs.jpclett.7b01702⟩
- Accès au bibtex
-
- titre
- (Invited) Porous Hollow PtNi/C Nanoparticles and Their Many Facets
- auteur
- O. Le Bacq, Tristan Asset, Raphaël Chattot, Alain Pasturel, Jakub Drnec, Pierre Bordet, Jaysen Nelayah, Laetitia Dubau, Frederic Maillard
- article
- ECS Transactions, 2017, 80 (8), pp.731-741. ⟨10.1149/08008.0731ecst⟩
- Accès au bibtex
-
- titre
- A map of high-mobility molecular semiconductors
- auteur
- Simone Fratini, S. Ciuchi, D. Mayou, Guy Trambly de Laissardière, A. Troisi
- article
- Nature Materials, 2017, 16 (10), pp.998-1002. ⟨10.1038/nmat4970⟩
- Accès au bibtex
-
- titre
- Experimental phase diagram of zero-bias conductance peaks in superconductor/semiconductor nanowire devices
- auteur
- Jun Chen, Peng Yu, John Stenger, Moïra Hocevar, Diana Car, S.R. Plissard, Erik P.A.M. Bakkers, Tudor Stanescu, Sergey Frolov
- article
- Science Advances , 2017, 3 (9), pp.1701476. ⟨10.1126/sciadv.1701476⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of Al incorporation in nonpolar m -plane GaN/AlGaN multi-quantum-wells using plasma-assisted molecular-beam epitaxy
- auteur
- Caroline B. Lim, Akhil Ajay, Catherine Bougerol, Edith Bellet-Amalric, Jörg Schörmann, Mark Beeler, Eva Monroy
- article
- physica status solidi (a), 2017, 214 (9), pp.1600849. ⟨10.1002/pssa.201600849⟩
- Accès au bibtex
-
- titre
- Enhanced Second-Order Nonlinearity for THz Generation by Resonant Interaction of Exciton-Polariton Rabi Oscillations with Optical Phonons
- auteur
- Maxime Richard, Katharina Rojan, Yoan Léger, Giovanna Morigi, Anna Minguzzi
- article
- Physical Review Letters, 2017, 119 (12), pp.127401. ⟨10.1103/PhysRevLett.119.127401⟩
- Accès au bibtex
-
- titre
- Effect of S on the aqueous and gaseous transport of Cu in porphyry and epithermal systems: Constraints from in situ XAS measurements up to 600 °C and 300 bars
- auteur
- M. Louvel, A. Bordage, B. Tripoli, Denis Testemale, J.L. Hazemann, J. Mavrogenes
- article
- Chemical Geology, 2017, 466, pp.500-511. ⟨10.1016/j.chemgeo.2017.06.039⟩
- Accès au bibtex
-
- titre
- In-operando elucidation of bimetallic CoNi nanoparticles during high-temperature CH 4 /CO 2 reaction
- auteur
- Bedour Alsabban, Laura Falivene, Sergey Kozlov, Antonio Aguilar-Tapia, Samy Ould-Chikh, Jean-Louis F Hazemann, Luigi Cavallo, Jean-Marie Basset, Kazuhiro Takanabe
- article
- Applied Catalysis B: Environmental, 2017, 213, pp.177-189. ⟨10.1016/j.apcatb.2017.04.076⟩
- Accès au bibtex
-
- titre
- Andreev molecules in semiconductor nanowire double quantum dots
- auteur
- Zhaoen Su, Alexandre Tacla, Moïra Hocevar, Diana Car, S.R. Plissard, Erik P.A.M. Bakkers, Andrew Daley, David Pekker, Sergey Frolov
- article
- Nature Communications, 2017, 8 (1), pp.585. ⟨10.1038/s41467-017-00665-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Magneto-chiral dichroism of CsCuCl 3
- auteur
- N. Nakagawa, N. Abe, S. Toyoda, S. Kimura, J. Zaccaro, I. Gautier-Luneau, D. Luneau, Y. Kousaka, A. Sera, M. Sera, K. Inoue, J. Akimitsu, Y. Tokunaga, T. Arima
- article
- Physical Review B, 2017, 96 (12), pp.121102. ⟨10.1103/PhysRevB.96.121102⟩
- Accès au bibtex
-
- titre
- High field induced magnetic transitions in the Y 0.7 E r 0.3 F e 2 D 4.2 deuteride
- auteur
- V. Paul-Boncour, M. Guillot, O. Isnard, A. Hoser
- article
- Physical Review B, 2017, 96 (10), pp.104440. ⟨10.1103/PhysRevB.96.104440⟩
- Accès au bibtex
-
- titre
- Evidence that Soil Properties and Organic Coating Drive the Phytoavailability of Cerium Oxide Nanoparticles
- auteur
- Clement Layet, Mélanie F Auffan, Catherine Santaella, Claire Chevassus-Rosset, Melanie Montes, Philippe Ortet, mohamed Barakat, Blanche Collin, Samuel Legros, Matthieu N. Bravin, Bernard Angeletti, Isabelle Kieffer, Olivier Proux, Jean-Louis Hazemann, Emmanuel Doelsch
- article
- Environmental Science and Technology, 2017, 51 (17), pp.9756-9764. ⟨10.1021/acs.est.7b02397⟩
- Accès au bibtex
-
- titre
- Equivalence between the Hamiltonian and Langevin noise descriptions of plasmon polaritons in a dispersive and lossy inhomogeneous medium
- auteur
- Aurélien Drezet
- article
- Physical Review A, 2017, 96 (3), pp.033849. ⟨10.1103/PhysRevA.96.033849⟩
- Accès au bibtex
-
- titre
- Dislocation-free axial InAs-on-GaAs nanowires on silicon
- auteur
- D. V. Beznasyuk, Eric Robin, Martien den Hertog, Julien Claudon, Moïra Hocevar
- article
- Nanotechnology, 2017, 28 (36), pp.365602. ⟨10.1088/1361-6528/aa7d40⟩
- Accès au texte intégral et bibtex
-
- titre
- Composite magnetic powder of Ni 3 Fe/Fe 3 O 4 type obtained from Fe/NiO/Fe 2 O 3 mixtures by mechanosynthesis and annealing
- auteur
- T.F. Marinca, H.F. Chicinaş, B.V. Neamţu, O. Isnard, A. Mesaroş, I. Chicinaş
- article
- Journal of Alloys and Compounds, 2017, 714, pp.484-492. ⟨10.1016/j.jallcom.2017.04.263⟩
- Accès au bibtex
-
- titre
- Toward pressure-induced multiferroicity in PrMn2O5
- auteur
- W. Peng, V. Balédent, S. Chattopadhyay, M.-B. Lepetit, G. Yahia, Claire Colin, M. Gooch, C. Pasquier, P. Auban-Senzier, M. Greenblatt, P. Foury-Leylekian
- article
- Physical Review B, 2017, 96 (5), pp.054418. ⟨10.1103/PhysRevB.96.054418⟩
- Accès au bibtex
-
- titre
- Atomic Scale Structural Characterization of Epitaxial (Cd,Cr)Te Magnetic Semiconductor
- auteur
- Bastien Bonef, Hervé Boukari, Adeline Grenier, Isabelle Mouton, Pierre-Henri Jouneau, Hidekazu Kinjo, Shinji Kuroda
- article
- Microscopy and Microanalysis, 2017, 23 (4), pp.717-723. ⟨10.1017/s1431927617000642⟩
- Accès au bibtex
-
- titre
- Structural, magnetic and magnetocaloric properties of nanostructured Pr 0.5 Sr 0.5 MnO 3 manganite synthesized by mechanical alloying
- auteur
- M. Bourouina, A. Krichene, Nassira Chniba Boudjada, M. Khitouni, W. Boujelben
- article
- Ceramics International, 2017, 43 (11), pp.8139-8145. ⟨10.1016/j.ceramint.2017.03.138⟩
- Accès au bibtex
-
- titre
- Intersubband absorption in Si- and Ge-doped GaN/AlN heterostructures in self-assembled nanowire and 2D layers
- auteur
- Akhil Ajay, Caroline B. Lim, David A. Browne, Jakub Polaczyński, Edith Bellet-Amalric, Martien I. den Hertog, Eva Monroy
- article
- physica status solidi (b), 2017, 254 (8), pp.1600734. ⟨10.1002/pssb.201600734⟩
- Accès au bibtex
-
- titre
- Soluble Two-Dimensional Covalent Organometallic Polymers by (Arene)Ruthenium-Sulfur Chemistry
- auteur
- Johann Coraux, Wael Hourani, Valentin L Müller, Simon L Lamare, Danial Aiman Kamaruddin, Laurence L Magaud, Nedjma L Bendiab, Martien L den Hertog, Olivier L Leynaud, Frank Palmino, Roland Salut, Frédéric Chérioux
- article
- Chemistry - A European Journal, 2017, 23 (46), pp.10969. ⟨10.1002/chem.201700054⟩
- Accès au texte intégral et bibtex
-
- titre
- Elucidating the Mechanisms Driving the Aging of Porous Hollow PtNi/C Nanoparticles by Means of CO ads Stripping
- auteur
- Tristan Asset, Raphaël Chattot, Jakub Drnec, Pierre Bordet, Nathalie Job, Frédéric Maillard, Laetitia Dubau
- article
- ACS Applied Materials & Interfaces, 2017, 9 (30), pp.25298 - 25307. ⟨10.1021/acsami.7b05782⟩
- Accès au bibtex
-
- titre
- Complex biphase nature of the superconducting dome of the FeSe phase diagram
- auteur
- V. Svitlyk, M. Raba, V. Dmitriev, Pierre Rodière, Pierre Toulemonde, D. Chernyshov, M. Mezouar
- article
- Physical Review B, 2017, 96 (1), pp.014520. ⟨10.1103/PhysRevB.96.014520⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherent coupling of individual quantum dots measured with phase-referenced two-dimensional spectroscopy: Photon echo versus double quantum coherence
- auteur
- Valentin Delmonte, Judith F. Specht, Tomasz Jakubczyk, Sven Hofling, Martin Kamp, Christian Schneider, Wolfgang Langbein, Gilles Nogues, Marten Richter, Jacek Kasprzak
- article
- Physical Review B, 2017, 96 (4), pp.041124(R). ⟨10.1103/PhysRevB.96.041124⟩
- Accès au texte intégral et bibtex
-
- titre
- W 3 CoB 3 -type {Y, Gd - Ho} 3 Co 4−x Al x (x=0.5−1) rare earth compounds: Specific features of crystal structure and magnetic ordering
- auteur
- A.V. Morozkin, A.V. Garshev, A.V. Knotko, V.O. Yapaskurt, O. Isnard, Jinlei Yao, R. Nirmala, S. Quezado, S. Malik
- article
- Journal of Solid State Chemistry, 2017, 251, pp.33-42. ⟨10.1016/j.jssc.2017.04.009⟩
- Accès au bibtex
-
- titre
- Field dependence of magnetocaloric properties of 20% Cr-doped Pr0.7Ca0.3MnO3 perovskite
- auteur
- R. M’nassri, A. Selmi, Nassira Chniba Boudjada, A. Cheikhrouhou
- article
- Journal of Thermal Analysis and Calorimetry, 2017, 129 (1), pp.53-64. ⟨10.1007/s10973-017-6110-1⟩
- Accès au bibtex
-
- titre
- Competing interactions in artificial spin chains
- auteur
- V.-D. Nguyen, Y. Perrin, S. Le Denmat, Benjamin Canals, N. Rougemaille
- article
- Physical Review B, 2017, 96 (1), pp.014402. ⟨10.1103/PhysRevB.96.014402⟩
- Accès au texte intégral et bibtex
-
- titre
- Nonadiabatic Kohn Anomaly in Heavily Boron-Doped Diamond
- auteur
- Fabio Caruso, Moritz Hoesch, Philipp Achatz, Jorge Serrano, Michael Krisch, Etienne Bustarret, Feliciano Giustino
- article
- Physical Review Letters, 2017, 119 (1), pp.017001. ⟨10.1103/PhysRevLett.119.017001⟩
- Accès au texte intégral et bibtex
-
- titre
- Fe catalysts for methane decomposition to produce hydrogen and carbon nano materials
- auteur
- Lu Zhou, Linga Reddy Enakonda, Moussab Harb, Youssef Saih, Antonio Aguilar-Tapia, Samy Ould-Chikh, Jean-Louis F Hazemann, Jun Li, Nini Wei, Daniel Gary, Pascal Del-Gallo, Jean-Marie Basset
- article
- Applied Catalysis B: Environmental, 2017, 208, pp.44-59. ⟨10.1016/j.apcatb.2017.02.052⟩
- Accès au bibtex
-
- titre
- Structural Study of a Doubly Ordered Perovskite Family NaLnCoWO 6 (Ln = Y, La, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Yb): Hybrid Improper Ferroelectricity in Nine New Members
- auteur
- Peng Zuo, Claire V. Colin, Holger Klein, Pierre Bordet, Emmanuelle Suard, Erik Elkaim, Céline Darie
- article
- Inorganic Chemistry, 2017, 56 (14), pp.8478 - 8489. ⟨10.1021/acs.inorgchem.7b01218⟩
- Accès au bibtex
-
- titre
- Extracting Work from Quantum Measurement in Maxwell’s Demon Engines
- auteur
- Cyril Elouard, David Herrera-Martí, Benjamin Huard, Alexia Auffèves
- article
- Physical Review Letters, 2017, 118 (26), pp.260603. ⟨10.1103/PhysRevLett.118.260603⟩
- Accès au bibtex
-
- titre
- Dispersive heterodyne probing method for laser frequency stabilization based on spectral hole burning in rare-earth doped crystals
- auteur
- O Gobron, K Jung, N. Galland, K Predehl, R Le Targat, A Ferrier, P Goldner, S. Seidelin, Y Le Coq
- article
- Optics Express, 2017, 25 (13), pp.15539-15548. ⟨10.1364/OE.25.015539⟩
- Accès au texte intégral et bibtex
-
- titre
- Highly uniform zinc blende GaAs nanowires on Si(111) using a controlled chemical oxide template
- auteur
- Siew Li Tan, Yann Genuist, Martien I den Hertog, Edith Bellet-Amalric, Henri Mariette, Nikos T Pelekanos
- article
- Nanotechnology, 2017, 28 (25), pp.255602. ⟨10.1088/1361-6528/aa7169⟩
- Accès au bibtex
-
- titre
- Reducing Phonon-Induced Decoherence in Solid-State Single-Photon Sources with Cavity Quantum Electrodynamics
- auteur
- T. Grange, N. Somaschi, C. Anton, L. de Santis, G. Coppola, V. Giesz, A. Lemaitre, I. Sagnes, A. Auffèves, P. Senellart
- article
- Physical Review Letters, 2017, 118 (25), pp.253602. ⟨10.1103/PhysRevLett.118.253602⟩
- Accès au bibtex
-
- titre
- Bias-controlled spectral response in GaN/AlN single-nanowire ultraviolet photodetectors
- auteur
- Maria Spies, Martien I. den Hertog, Pascal Hille, Jörg Schörmann, Jakub Polaczyński, Bruno Gayral, Martin Eickhoff, Eva Monroy, Jonas Lähnemann
- article
- Nano Letters, 2017, 17 (7), pp.4231 - 4239. ⟨10.1021/acs.nanolett.7b01118⟩
- Accès au texte intégral et bibtex
-
- titre
- Resonant photoluminescence and dynamics of a hybrid Mn hole spin in a positively charged magnetic quantum dot
- auteur
- Alban Lafuente-Sampietro, Hervé Boukari, Lucien Besombes
- article
- Physical Review B, 2017, 95 (24), pp.245308. ⟨10.1103/PhysRevB.95.245308⟩
- Accès au bibtex
-
- titre
- Electron beam dose dependence of surface recombination velocity and surface space charge in semiconductor nanowires
- auteur
- Fabrice Donatini, Corinne Sartel, Vincent Sallet, Julien Pernot
- article
- Nanotechnology, 2017, 28 (23), pp.235701. ⟨10.1088/1361-6528/aa70be⟩
- Accès au bibtex
-
- titre
- Ba19Cr12O45 : A High Pressure Chromate with an Original Structure Solved by Electron Diffraction Tomography and Powder X-ray Diffraction
- auteur
- Christophe Lepoittevin, Justin Jeanneau, Pierre Toulemonde, André Sulpice, Manuel Nunez-Regueiro
- article
- Inorganic Chemistry, 2017, 56 (11), pp.6404 - 6409. ⟨10.1021/acs.inorgchem.7b00481⟩
- Accès au bibtex
-
- titre
- Effect of Carbon Addition on Magnetic Order in Mn-Al-C Alloys
- auteur
- Muriel Tyrman, Alexandre Pasko, Loic Perriere, Victor H. Etgens, Olivier Isnard, Frédéric Mazaleyrat
- article
- IEEE Transactions on Magnetics, 2017, 53 (11), pp.2101406 ⟨10.1109/TMAG.2017.2710639⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase diagram of multiferroic KCu 3 As 2 O 7 ( O D ) 3
- auteur
- Gøran J Nilsen, Virginie Simonet, Claire V. Colin, Ryutaro Okuma, Yoshihiko Okamoto, Masashi Tokunaga, Thomas C. Hansen, Dmitry D. Khalyavin, Zenji Hiroi
- article
- Physical Review B, 2017, 95 (21), pp.214415. ⟨10.1103/PhysRevB.95.214415⟩
- Accès au bibtex
-
- titre
- Thin-Wall GaN/InAlN Multiple Quantum Well Tubes
- auteur
- Christophe Durand, Jean-François Carlin, Catherine Bougerol, Bruno Gayral, Damien Salomon, Jean-Paul Barnes, Joël Eymery, Raphaël Butté, Nicolas Grandjean
- article
- Nano Letters, 2017, 17 (6), pp.3347 - 3355. ⟨10.1021/acs.nanolett.6b04852⟩
- Accès au bibtex
-
- titre
- Local density of electromagnetic states in plasmonic nanotapers: spatial resolution limits with nitrogen-vacancy centers in diamond nanospheres
- auteur
- Rafael Salas-Montiel, Martin Berthel, Josslyn Beltran Madrigal, Serge Huant, Aurelien Drezet, Sylvain Blaize
- article
- Nanotechnology, 2017, 28 (20), pp.205207. ⟨10.1088/1361-6528/aa6815⟩
- Accès au bibtex
-
- titre
- A solid-state single-photon filter
- auteur
- Lorenzo de Santis, Carlos Antón, Bogdan Reznychenko, Niccolo Somaschi, Guillaume Coppola, Jean Sénellart, Carmen Gomez, Aristide Lemaitre, Isabelle Sagnes, Andrew G. White, Loïc Lanco, Alexia Auffèves, Pascale Senellart
- article
- Nature Nanotechnology, 2017, 12 (7), pp.663 - 667. ⟨10.1038/nnano.2017.85⟩
- Accès au texte intégral et bibtex
-
- titre
- Deep Seated Negative Axicon in Selective Optical Fiber Tip and Collimated Bessel Beam
- auteur
- Kaushal Vairagi, Rashmi Minz, Sarabjeet Kaur, Dharmadas Kumbhakar, Sambhav Paul, Umesh Tiwari, Ravindra Sinha, Jochen Fick, Samir Mondal
- article
- IEEE Photonics Technology Letters, 2017, 29 (10), pp.786-789. ⟨10.1109/LPT.2017.2684224⟩
- Accès au bibtex
-
- titre
- Antireflective Photonic Structure for Coherent Nonlinear Spectroscopy of Single Magnetic Quantum Dots
- auteur
- W. Pacuski, J. Rousset, V. Delmonte, T. Jakubczyk, K. Sobczak, J. Borysiuk, K. Sawicki, E. Janik, J. Kasprzak
- article
- Crystal Growth & Design, 2017, 17 (6), pp.2987 - 2992. ⟨10.1021/acs.cgd.6b01596⟩
- Accès au bibtex
-
- titre
- Photoluminescence properties of glassy yttrium aluminum borate powders: dopant-free phosphors for solid-state lighting.
- auteur
- Vinicius Guimaraes, Atul D. Sontakke, Lauro J. Q. Maia, Mathieu Salaün, Isabelle Gautier-Luneau, Alban Ferrier, Bruno Viana, Alain Ibanez
- article
- Journal of Luminescence, 2017, 188, pp.448-453. ⟨10.1016/j.jlumin.2017.05.013⟩
- Accès au bibtex
-
- titre
- Characterization of Germanium Speciation in Sphalerite (ZnS) from Central and Eastern Tennessee, USA, by X-ray Absorption Spectroscopy
- auteur
- Julien Bonnet, Jean Cauzid, Denis Testemale, Isabelle Kieffer, Olivier Proux, Andrei Lecomte, Laurent Bailly
- article
- Minerals, 2017, 7 (5), pp.79. ⟨10.3390/min7050079⟩
- Accès au texte intégral et bibtex
-
- titre
- Defect and field-enhancement characterization through electron-beam-induced current analysis
- auteur
- Hitoshi Umezawa, Hiroki Gima, Khaled Driche, Yukako Kato, Tsuyoshi Yoshitake, Yoshiaki Mokuno, Etienne Gheeraert
- article
- Applied Physics Letters, 2017, 110 (18), pp.182103. ⟨10.1063/1.4982590⟩
- Accès au bibtex
-
- titre
- Singlet Orbital Ordering in Bilayer Sr 3 Cr 2 O 7
- auteur
- Justin Jeanneau, Pierre Toulemonde, Gyorgy Remenyi, André Sulpice, Claire Colin, Vivian Nassif, Emmanuelle Suard, Eduardo Salas Colera, Germán Castro, Frédéric Gay, Corina Urdaniz, Ruben Weht, Clément Février, Arnaud Ralko, Claudine Lacroix, Armando Aligia, Manuel Nunez-Regueiro
- article
- Physical Review Letters, 2017, 118 (20), pp.207207. ⟨10.1103/PhysRevLett.118.207207⟩
- Accès au bibtex
-
- titre
- Revisiting the hydrothermal geochemistry of europium(II/III) in light of new in-situ XAS spectroscopy results
- auteur
- Weihua Liu, Barbara Etschmann, Artas Migdisov, Hakim Boukhalfa, Denis Testemale, Harald Müller, Jean-Louis Hazemann, Joël Brugger
- article
- Chemical Geology, 2017, 459, pp.61 - 74. ⟨10.1016/j.chemgeo.2017.04.005⟩
- Accès au bibtex
-
- titre
- Experimental demonstration of nonbilocal quantum correlations
- auteur
- Dylan Saunders, Adam J. Bennet, Cyril Branciard, Geoff J. Pryde
- article
- Science Advances , 2017, 3 (4), pp.1602743. ⟨10.1126/sciadv.1602743⟩
- Accès au texte intégral et bibtex
-
- titre
- Tunable transmission of quantum Hall edge channels with full degeneracy lifting in split-gated graphene devices
- auteur
- Katrin Zimmermann, Anna Jordan, Frédéric Gay, Kenji Watanabe, Takashi Taniguchi, Zheng Han, Vincent Bouchiat, Hermann Sellier, Benjamin Sacépé
- article
- Nature Communications, 2017, 8, pp.14983. ⟨10.1038/ncomms14983⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic field evolution of spin blockade in Ge/Si nanowire double quantum dots
- auteur
- A. Zarassi, Z. Su, J. Danon, J. Schwenderling, Moïra Hocevar, B. M. Nguyen, J. Yoo, S. A. Dayeh, S. M. Frolov
- article
- Physical Review B, 2017, 95 (15), pp.155416. ⟨10.1103/PhysRevB.95.155416⟩
- Accès au bibtex
-
- titre
- Solubility and speciation of REE in high temperature fluids: insights from in situ XAS studies
- auteur
- Marion Louvel, John Mavrogenes, Barbara Etschmann, Joël Brugger, Jean-Louis F Hazemann, Denis Testemale
- article
- Applied Earth Science, 2017, 126 (2), pp.73. ⟨10.1080/03717453.2017.1306271⟩
- Accès au bibtex
-
- titre
- Determination of the magnetic structure of CePt 2 In 7 by means of neutron diffraction
- auteur
- Matthias Raba, Eric Ressouche, N. Qureshi, Claire Colin, V. Nassif, S. Ota, Y. Hirose, R. Settai, Pierre Rodière, I. Sheikin
- article
- Physical Review B, 2017, 95 (16), pp.161102. ⟨10.1103/PhysRevB.95.161102⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase diagram of boron-doped diamond revisited by thickness-dependent transport studies
- auteur
- J. Bousquet, T. Klein, M. Solana, L. Saminadayar, C. Marcenat, E. Bustarret
- article
- Physical Review B, 2017, 95 (16), pp.161301. ⟨10.1103/PhysRevB.95.161301⟩
- Accès au texte intégral et bibtex
-
- titre
- Numerical analysis of electronic conductivity in graphene with resonant adsorbates: comparison of monolayer and Bernal bilayer
- auteur
- Ahmed Missaoui, Jouda Jemaa Khabthani, Nejm-Eddine Jaidane, Didier Mayou, Guy Trambly de Laissardière
- article
- The European Physical Journal B: Condensed Matter and Complex Systems, 2017, 90 (4), pp.75. ⟨10.1140/epjb/e2017-70664-0⟩
- Accès au bibtex
-
- titre
- Experimental study of the influences substitution from Ni by Co, Al and Mn on the hydrogen storage properties of LaNi 3.6 Mn 0.3 Al 0.4 Co 0.7 alloy
- auteur
- Patricia de Rango, Chaker Briki, Sihem Belkhiria, Mohamed Houcine Dhaou, Abdelmajid Jemni
- article
- International Journal of Hydrogen Energy, 2017, 42 (15), pp.10081 - 10088. ⟨10.1016/j.ijhydene.2017.02.065⟩
- Accès au bibtex
-
- titre
- Synchrotron Bragg diffraction imaging characterization of synthetic diamond crystals for optical and electronic power device applications
- auteur
- Thu Nhi Tran Thi, J. Morse, D. Caliste, B. Fernandez, D. Eon, J. Hartwig, Cyrille Barbay, Christine Mer-Calfati, Nicolas Tranchant, Jean-Charles Arnault, T. A. Lafford, J. Baruchel
- article
- Journal of Applied Crystallography, 2017, 50 (2), pp.561-569. ⟨10.1107/S1600576717003831⟩
- Accès au texte intégral et bibtex
-
- titre
- Correlating Local Compositions and Structures with the Macroscopic Optical Properties of Ce3+-Doped CaSc2O4, an Efficient Green-Emitting Phosphor
- auteur
- Nathan C. George, Jakoah Brgoch, Andrew J. Pell, Clayton Cozzan, Adam Jaffe, Géraldine Dantelle, Anna Llobet, Guido Pintacuda, Ram Seshadri, Bradley F. Chmelka
- article
- Chemistry of Materials, 2017, 29 (8), pp.3538-3546. ⟨10.1021/acs.chemmater.6b05394⟩
- Accès au bibtex
-
- titre
- Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy
- auteur
- Zhenning Dong, Yamina Andre, Vladimir G Dubrovskii, Catherine Bougerol, Christine Leroux, Mohammed R Ramdani, Guillaume Monier, Agnès Trassoudaine, Dominique Castelluci, Evelyne Gil
- article
- Nanotechnology, 2017, 28 (12), pp.125602. ⟨10.1088/1361-6528/aa5c6b⟩
- Accès au bibtex
-
- titre
- Strain-Gradient Position Mapping of Semiconductor Quantum Dots
- auteur
- P.-L. de Assis, I. Yeo, A. Gloppe, A. Nguyen, D. Tumanov, E. Dupont-Ferrier, Nitin S. Malik, E. Dupuy, J. Claudon, Jean-Michel Gérard, A. Auffèves, Olivier Arcizet, Maxime Richard, J.-P. Poizat
- article
- Physical Review Letters, 2017, 118 (11), pp.117401. ⟨10.1103/PhysRevLett.118.117401⟩
- Accès au texte intégral et bibtex
-
- titre
- Atomic-Scale Snapshots of the Formation and Growth of Hollow PtNi/C Nanocatalysts
- auteur
- Raphaël Chattot, Tristan Asset, Jakub Drnec, Pierre Bordet, Jaysen Nelayah, Laetitia Dubau, Frédéric Maillard
- article
- Nano Letters, 2017, 17 (4), pp.2447 - 2453. ⟨10.1021/acs.nanolett.7b00119⟩
- Accès au bibtex
-
- titre
- Non-metal to metal transition in n-type ZnO single crystal materials
- auteur
- Stéphane Brochen, Guy Feuillet, Jean-Louis Santailler, Rémy Obrecht, Matthieu Lafossas, Pierre Ferret, Jean-Michel Chauveau, Julien Pernot
- article
- Journal of Applied Physics, 2017, 121 (9), pp.095704. ⟨10.1063/1.4977506⟩
- Accès au bibtex
-
- titre
- Recovering the quantum formalism from physically realist axioms
- auteur
- Alexia Auffèves, Philippe Grangier
- article
- Scientific Reports, 2017, 7, pp.43365. ⟨10.1038/srep43365⟩
- Accès au bibtex
-
- titre
- Cathodoluminescence spectroscopy of plasmonic patch antennas: towards lower order and higher energies
- auteur
- Mathieu Jeannin, Névine Rochat, Kuntheak Kheng, Gilles Nogues
- article
- Optics Express, 2017, 25 (5), pp.5488. ⟨10.1364/OE.25.005488⟩
- Accès au texte intégral et bibtex
-
- titre
- Material-specific imaging system using energy-dispersive X-ray diffraction and spatially resolved CdZnTe detectors with potential application in breast imaging
- auteur
- Damien Barbes, Joachim Tabary, Caroline Paulus, Jean-Louis Hazemann, Loick Verger
- article
- Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, 2017, 848, pp.91 - 98. ⟨10.1016/j.nima.2016.12.006⟩
- Accès au bibtex
-
- titre
- Influence of microstructure on the interphase exchange coupling of Nd2Fe14B + 10 wt%α-Fe nanocomposites obtained at different milling energies
- auteur
- R. Hirian, S. Mican, O. Isnard, L. Barbu-Tudoran, V. Pop
- article
- Journal of Alloys and Compounds, 2017, 697, pp.19-24. ⟨10.1016/j.jallcom.2016.12.123⟩
- Accès au bibtex
-
- titre
- Structural, electronic and magnetic properties of the Mn 54−x Al 46 Ti x (x = 2; 4) alloys
- auteur
- Radu Gavrea, R. Hirian, S. Mican, D. Benea, O. Isnard, M. Coldea, V. Pop
- article
- Intermetallics, 2017, 82, pp.101-106. ⟨10.1016/j.intermet.2016.11.012⟩
- Accès au bibtex
-
- titre
- Enhancement of Auger recombination induced by carrier localization in InGaN/GaN quantum wells
- auteur
- M. Shahmohammadi, W. Liu, G. Rossbach, L. Lahourcade, A. Dussaigne, Catherine Bougerol, R. Butté, N. Grandjean, B. Deveaud, G. Jacopin
- article
- Physical Review B, 2017, 95 (12), pp.125314. ⟨10.1103/PhysRevB.95.125314⟩
- Accès au bibtex
-
- titre
- Cu 2 ZnSnSe 4 thin films grown by molecular beam epitaxy
- auteur
- Y. Curé, S. Pouget, V. Reita, H. Boukari
- article
- Scripta Materialia, 2017, 130, pp.200-204. ⟨10.1016/j.scriptamat.2016.12.003⟩
- Accès au bibtex
-
- titre
- Controlled preparation of aluminum borate powders for the development of defect-related phosphors for warm white LED lighting
- auteur
- Vinicius Guimaraes, Pauline Burner, Lauro J.Q. Maia, Alban Ferrier, Bruno Viana, Isabelle Gautier-Luneau, Alain Ibanez, Mathieu Salaün
- article
- Solid State Sciences, 2017, 65, pp.6 - 14. ⟨10.1016/j.solidstatesciences.2016.12.011⟩
- Accès au bibtex
-
- titre
- Near-field hyperspectral quantum probing of multimodal plasmonic resonators
- auteur
- Aurelien Cuche, Martin Berthel, Upkar Kumar, G. Colas Des Francs, S. Huant, Erik Dujardin, Christian Girard, A. Drezet
- article
- Physical Review B, 2017, 95 (12), pp.121402. ⟨10.1103/PhysRevB.95.121402⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase discrimination in CdSe structures by means of Raman scattering
- auteur
- R. Cuscó, Vincent Consonni, E. Bellet-Amalric, R. André, L. Artús
- article
- physica status solidi (RRL) - Rapid Research Letters, 2017, 11 (5), pp.1700006. ⟨10.1002/pssr.201700006⟩
- Accès au bibtex
-
- titre
- Broadband white emitting amorphous yttrium-aluminum-borate phosphors for high CRI w-LEDs
- auteur
- Atul D. Sontakke, Mathieu Salaün, Vinicius Guimaraes, Alban Ferrier, Lauro J.Q. Maia, Bruno Viana, Isabelle Gautier-Luneau, Alain Ibanez
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2017, 10124, pp.1012409. ⟨10.1117/12.2253104⟩
- Accès au bibtex
-
- titre
- Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy
- auteur
- Vasily Kanzyuba, Sining Dong, Xinyu Liu, Xiang Li, Sergei Rouvimov, Hanako Okuno, Henri Mariette, Xueqiang Zhang, Sylwia Ptasinska, Brian Tracy, David Smith, Margaret Dobrowolska, Jacek Furdyna
- article
- Journal of Applied Physics, 2017, 121 (7), pp.075301. ⟨10.1063/1.4976206⟩
- Accès au bibtex
-
- titre
- Sub-diffusive electronic states in octagonal tiling
- auteur
- Guy Trambly de Laissardière, C. Oguey, D. Mayou
- article
- Journal of Physics: Conference Series, 2017, 809, pp.012020. ⟨10.1088/1742-6596/809/1/012020⟩
- Accès au bibtex
-
- titre
- Investigation of magnetic and transport properties of PrCa(MnCo)O prepared by solid state process
- auteur
- M. Khelifi, R. M’nassri, A. Selmi, H. Rahmouni, K. Khirouni, Nassira Chniba Boudjada, A. Cheikhrouhou
- article
- Journal of Magnetism and Magnetic Materials, 2017, 423, pp.20-26. ⟨10.1016/j.jmmm.2016.09.069⟩
- Accès au bibtex
-
- titre
- Study of magnetic, structural and magnetocaloric properties of La 0.6 Pr 0.4 Mn 2 Si 2 under high pressures and magnetic field
- auteur
- J. Kaštil, Z. Arnold, O. Isnard, Y. Skourski, J. Kamarád, J. Itié
- article
- Journal of Magnetism and Magnetic Materials, 2017, 424, pp.416-420. ⟨10.1016/j.jmmm.2016.10.103⟩
- Accès au bibtex
-
- titre
- Evidence for a spinon Fermi surface in the triangular S = 1 quantum spin liquid Ba 3 NiSb 2 O 9
- auteur
- B. Fåk, S. Bieri, E. Canévet, L. Messio, C. Payen, M. Viaud, C. Guillot-Deudon, C. Darie, J. Ollivier, P. Mendels
- article
- Physical Review B, 2017, 95 (6), pp.060402. ⟨10.1103/PhysRevB.95.060402⟩
- Accès au bibtex
-
- titre
- Quantizing polaritons in inhomogeneous dissipative systems
- auteur
- Aurelien Drezet
- article
- Physical Review A, 2017, 95 (2), pp.023831. ⟨10.1103/PhysRevA.95.023831⟩
- Accès au bibtex
-
- titre
- Composition dependence of physical properties in Pr 0.7 Ca 0.3 Mn 1−x Ni x O 3
- auteur
- R. Jemai, R. M'Nassri, A. Selmi, H. Rahmouni, K. Khirouni, Nassira Chniba Boudjada, A. Cheikhrouhou
- article
- Journal of Alloys and Compounds, 2017, 693, pp.631-641. ⟨10.1016/j.jallcom.2016.09.224⟩
- Accès au bibtex
-
- titre
- Atomic composition of WC/ and Zr/O-terminated diamond Schottky interfaces close to ideality
- auteur
- J.C. Pinero, D. Araujo, A. Fiori, A. Traoré, M.P. Villar, D. Eon, P. Muret, Julien Pernot, T. Teraji
- article
- Applied Surface Science, 2017, 395, pp.200-207. ⟨10.1016/j.apsusc.2016.04.166⟩
- Accès au bibtex
-
- titre
- High pressure structural and magnetic studies of LaFe 12 B 6
- auteur
- L.V.B. Diop, O. Isnard, Z. Arnold, J.P. Itie, J. Kastil, J. Kamarád
- article
- Solid State Communications, 2017, 252, pp.29-32. ⟨10.1016/j.ssc.2017.01.010⟩
- Accès au bibtex
-
- titre
- Magnetic ordering of Hf3Ni2Si3-type {Sm, Tb, Er}3Co2Ge3 and {Tb, Ho}3Ni2Ge3 compounds
- auteur
- A.V. Morozkin, V.O. Yapaskurt, R. Nirmala, S. Quezado, S. Malik, Y. Mozharivskyj, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2017, 424, pp.99-107. ⟨10.1016/j.jmmm.2016.10.036⟩
- Accès au bibtex
-
- titre
- Mechanotransductive cascade of Myo-II-dependent mesoderm and endoderm invaginations in embryo gastrulation
- auteur
- Démosthène M Mitrossilis, Jens-Christian M Röper, Damien Le Roy, Benjamin M Driquez, Aude M Michel, Christine M Ménager, Gorky Shaw, Simon Le Denmat, Laurent Ranno, Frédéric Dumas-Bouchiat, Nora M. Dempsey, Emmanuel M Farge
- article
- Nature Communications, 2017, 8, pp.13883. ⟨10.1038/ncomms13883⟩
- Accès au texte intégral et bibtex
-
- titre
- Light-hole Exciton in Nanowire Quantum Dot
- auteur
- Mathieu Jeannin, Alberto Artioli, Pamela Rueda-Fonseca, Edith Bellet-Amalric, Kuntheak Kheng, Régis André, Serge Tatarenko, Joël Cibert, David Ferrand, Gilles Nogues
- article
- Physical Review B, 2017, 95 (3), pp.035305. ⟨10.1103/PhysRevB.95.035305⟩
- Accès au texte intégral et bibtex
-
- titre
- Resonant optical control of the spin of a single Cr atom in a quantum dot
- auteur
- Alban Lafuente-Sampietro, H. Utsumi, Hervé Boukari, Shinji Kuroda, Lucien Besombes
- article
- Physical Review B, 2017, 95 (3), pp.035303. ⟨10.1103/PhysRevB.95.035303⟩
- Accès au bibtex
-
- titre
- Quasi-one-dimensional density of states in a single quantum ring
- auteur
- Heedae Kim, Woojin Lee, Seongho Park, Kwangseuk Kyhm, Koochul Je, Robert A. Taylor, Gilles Nogues, Le Si Dang, Jin Dong Song
- article
- Scientific Reports, 2017, 7, pp.40026. ⟨10.1038/srep40026⟩
- Accès au bibtex
-
- titre
- Structuring of Alkyl-Triazole Bridged Silsesquioxanes
- auteur
- Silvia C Nunes, Guillaume Toquer, M.A. Cardoso, A Mayoral, R.A.S. Ferreira, Luis Carlos, Paula Ferreira, Paulo Almeida, Xavier Cattoën, Michel Wong Chi Man, Verónica de Zea Bermudez
- article
- ChemistrySelect, 2017, 2 (1), pp.432-442. ⟨10.1002/slct.201601806⟩
- Accès au bibtex
-
- titre
- Electrical conductivity analysis and magnetic properties of Pr0.7Ca0.3Mn0.95Co0.05O3 oxide
- auteur
- A. Selmi, M. Khelifi, H. Rahmouni, R. M’nassri, K. Khirouni, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Materials Science: Materials in Electronics, 2017, 28 (2), pp.1901-1908. ⟨10.1007/s10854-016-5742-4⟩
- Accès au bibtex
-
- titre
- Low-dimensional magnetic properties of orthorhombic MnV 2 O 6 : A nonstandard structure stabilized at high pressure
- auteur
- M. Hneda, M. da Cunha, M. Gusmão, S. Neto, J. Rodríguez-Carvajal, O. Isnard
- article
- Physical Review B, 2017, 95 (2), pp.024419. ⟨10.1103/PhysRevB.95.024419⟩
- Accès au bibtex
-
- titre
- Interplay between crystal and magnetic structures in YFe 2 (H α D 1−α ) 4.2 compounds studied by neutron diffraction
- auteur
- V. Paul-Boncour, M. Guillot, O. Isnard, B. Ouladdiaf, A. Hoser, T. Hansen, N. Stuesser
- article
- Journal of Solid State Chemistry, 2017, 245, pp.98-109. ⟨10.1016/j.jssc.2016.09.002⟩
- Accès au bibtex
-
- titre
- Field-driven magnetostructural transitions in GeCo 2 O 4
- auteur
- Xavier Fabrèges, E. Ressouche, F. Duc, S. de Brion, Mehdi Amara, C. Detlefs, L. Paolasini, E. Suard, L.-P. Regnault, Benjamin Canals, P. Strobel, Virginie Simonet
- article
- Physical Review B, 2017, 95 (1), pp.014428. ⟨10.1103/PhysRevB.95.014428⟩
- Accès au texte intégral et bibtex
-
- titre
- Chemical synthesis, crystal structure, vibrational spectroscopy, non-linear optical properties and DFT calculation of bis (2,6-diaminopyridinium) sulfate monohydrate
- auteur
- Chaouki Ben Hassen, Thameur Dammak, Nassira Chniba-Boudjada, Tahar Mhiri, Mohamed Boujelbene
- article
- Journal of Molecular Structure, 2017, 1127, pp.43-52. ⟨10.1016/j.molstruc.2016.07.060⟩
- Accès au bibtex
-
- titre
- Directional Local Density of States of Classical and Quantum Propagating Surface Plasmons
- auteur
- Martin Berthel, Quanbo Jiang, Aline Pham, Joël Bellessa, Cyriaque Genet, Serge Huant, Aurelien Drezet
- article
- Physical Review Applied, 2017, 7 (1), pp.014021. ⟨10.1103/PhysRevApplied.7.014021⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of synchrotron X-ray micro-tomography under extreme conditions of pressure and temperature
- auteur
- M. Alvarez-Murga, J. Perrillat, Y. Le Godec, F. Bergame, J. Philippe, A. King, N. Guignot, M. Mezouar, J. Hodeau
- article
- Journal of Synchrotron Radiation, 2017, 24 (Part 1), pp.240-247. ⟨10.1107/S1600577516016623⟩
- Accès au bibtex
-
- titre
- Structural, vibrational and optical properties of a new organic–inorganic material: (C 5 H 8 N 3 ) 2 [BiCl 5 ]
- auteur
- Donia Fredj, Chaouki Ben Hassen, Slim Elleuch, Habib Feki, Nassira Chniba Boudjada, Tahar Mhiri, Mohamed Boujelbene
- article
- Materials Research Bulletin, 2017, 85, pp.23-29. ⟨10.1016/j.materresbull.2016.08.041⟩
- Accès au bibtex
-
- titre
- Improved soft magnetic properties in nanocrystalline FeCuNbSiB Nanophy ® cores by intense magnetic field annealing
- auteur
- Rajasekhar Madugundo, Olivier Geoffroy, Thierry Waeckerle, Bianca Frincu, Stéphanie Kodjikian, Sophie Rivoirard
- article
- Journal of Magnetism and Magnetic Materials, 2017, 422, pp.475-478. ⟨10.1016/j.jmmm.2016.09.063⟩
- Accès au bibtex
-
- titre
- Influence of phonons on solid-state cavity-QED investigated using nonequilibrium Green's functions
- auteur
- Gaston Hornecker, Alexia Auffèves, Thomas Grange
- article
- Physical Review B, 2017, 95 (3), pp.035404. ⟨10.1103/PhysRevB.95.035404⟩
- Accès au bibtex
-
- titre
- Crystal structure, vibrational and magnetic properties of the monohydrated cobalt (II) complex with 1-(4-Nitrophenyl)-1H-imidazolium cation, (C9H8N3O2)2CoCl4·H2O
- auteur
- W. Amamou, Nassira Boudjada, F. Zouari
- article
- Journal of Molecular Structure, 2017, 1127, pp.266-274. ⟨10.1016/j.molstruc.2016.07.111⟩
- Accès au bibtex
-
- titre
- Etude pluridisciplinaire de chapelles funéraires thébaines de l'époque ramesside
- auteur
- Matthias Alfeld, Kevin Cain, Catherine Defeyt, Pauline Martinetto, Philippe Martinez, Jared Murnan, Silvia Pedetti, Philippe Walter
- article
- Memnonia, 2017, 28, pp.149-155
- Accès au bibtex
-
- titre
- Durcissement superficiel de la fonte grise Ft25 induit par un traitement de surface dans le moule
- auteur
- Mohamed Bouitna, Naïma Boutarek-Zaourar, Samir Mansour, Samir Mourad Chentouf, Eric Mossang
- article
- Matériaux & Techniques, 2017, 105 (3), pp.305. ⟨10.1051/mattech/2018001⟩
- Accès au bibtex
-
- titre
- A structural and magnetic study of Nd5Fe2B6 and Nd5Fe2B6D4.1
- auteur
- Sophie Tencé, Alain Wattiaux, Mathieu Duttine, Rodolphe Decourt, Olivier Isnard
- article
- Journal of Alloys and Compounds, 2017, 693, pp.887-894. ⟨10.1016/j.jallcom.2016.09.243⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis, structure and electrochemical properties of K-based sulfates K 2 M2 (SO 4 )3 with M = Fe and Cu
- auteur
- Laura Lander, Gwenaëlle Rousse, Dmitry Batuk, Claire Colin, Daniel Alves Dalla Corte, Jean‐marie Tarascon
- article
- Inorganic Chemistry, 2017, 56 (4), pp.2013-2021. ⟨10.1021/acs.inorgchem.6b02526⟩
- Accès au texte intégral et bibtex
-
- titre
- Temperature Variation of Magnetic Anisotropy in Pt / Co / AlO x Trilayers
- auteur
- H. Garad, F. Fettar, F. Gay, Yves Joly, S. Auffret, B. Rodmacq, B. Dieny, L. Ortega
- article
- Physical Review Applied, 2017, 7 (3), pp.034023. ⟨10.1103/PhysRevApplied.7.034023⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum modeling of two-level photovoltaic systems
- auteur
- Tahereh Nematiaram, Asghar Asgari, Matthias Ernzerhof, Pascal Quemerais, Didier Mayou
- article
- EPJ Photovoltaics, 2017, 8, pp.85503. ⟨10.1051/epjpv/2017004⟩
- Accès au texte intégral et bibtex
-
- titre
- High-Energy Resolution Fluorescence Detected X-Ray Absorption Spectroscopy: A Powerful New Structural Tool in Environmental Biogeochemistry Sciences
- auteur
- Olivier Proux, Eric Lahera, William F Net, Isabelle F Kieffer, Mauro F Rovezzi, Denis Testemale, Mohammed F Irar, Sara F Thomas, Antonio F Aguilar-Tapia, Elena F Bazarkina, Alain F Prat, Marie F Tella, Mélanie F Auffan, Jérôme Rose, Jean-Louis F Hazemann
- article
- Journal of Environmental Quality, 2017, Synchrotron radiation-based methods for environmental biogeochemistry, 46 (6), pp.1146-1157. ⟨10.2134/jeq2017.01.0023⟩
- Accès au texte intégral et bibtex
-
- titre
- Fragmentation in spin ice from magnetic charge injection
- auteur
- E. Lefrançois, V. Cathelin, Elsa Lhotel, J. Robert, P. Lejay, C. V. Colin, Benjamin Canals, F. Damay, J. Ollivier, B. Fak, L. C. Chapon, R. Ballou, V. Simonet
- article
- Nature Communications, 2017, 8, pp.209. ⟨10.1038/s41467-017-00277-1⟩
- Accès au texte intégral et bibtex
-
- titre
- First evidence of the trisulfur radical ion S3- and other sulfur polymers in natural fluid inclusions
- auteur
- Guillaume Barré, Laurent Truche, Elena Bazarkina, Raymond Michels, Jean Dubessy
- article
- Chemical Geology, 2017, 462, pp.1-14. ⟨10.1016/j.chemgeo.2017.03.027⟩
- Accès au texte intégral et bibtex
-
- titre
- SOMC-designed silica supported tungsten oxo imidazolin-2-iminato methyl precatalyst for olefin metathesis reactions
- auteur
- Z.S. Qureshi, Ahmed Hamieh, S. Barman, N. Maity, M.K. Samantaray, S. Ould-Chikh, E. Abou-Hamad, L. Falivene, V. d'Elia, A. Rothenberger, I. Llorens, Jean-Louis F Hazemann, J.M. Basset
- article
- Inorganic Chemistry, 2017, 56 (2), pp.861-871. ⟨10.1021/acs.inorgchem.6b02424⟩
- Accès au bibtex
-
- titre
- Millimeter-scale layered MoSe 2 grown on sapphire and evidence for negative magnetoresistance
- auteur
- M. T Dau, C. Vergnaud, A. Marty, F. Rortais, C. Beigné, H. Boukari, E. Bellet-Amalric, V. Guigoz, O. Renault, C. Alvarez, H. Okuno, P. Pochet, M. Jamet
- article
- Applied Physics Letters, 2017, 110 (1), pp.011909. ⟨10.1063/1.4973519⟩
- Accès au texte intégral et bibtex
-
- titre
- Bulk cylinders and spheres: from shaping to the use for linear and nonlinear optics
- auteur
- Bertrand Ménaert, Jérome Debray, Julien Zaccaro, Patricia Segonds, Benoit Boulanger
- article
- Optical Materials Express, 2017, 7 (8), pp.3017-3022. ⟨10.1364/OME.7.003017⟩
- Accès au texte intégral et bibtex
-
- titre
- Revealing the spectral response of a plasmonic lens using low-energy electrons
- auteur
- Shuiyan Cao, Eric Le Moal, Florian Bigourdan, Jean-Paul Hugonin, Jean-Jacques Greffet, Aurélien Drezet, Serge Huant, Gérald Dujardin, Elizabeth Boer-Duchemin
- article
- Physical Review B, 2017, 96 (11), pp.115419. ⟨10.1088/1367-2630/9/7/217⟩
- Accès au texte intégral et bibtex
-
- titre
- Carbon nanotube forest based electrostatic capacitor with excellent dielectric performances
- auteur
- Shenghong Yao, Jinkai Yuan, Hasan-Al Mehedi, Etienne Gheeraert, Alain Sylvestre
- article
- Carbon, 2017, 116, pp.648-654. ⟨10.1016/j.carbon.2017.02.043⟩
- Accès au bibtex
-
- titre
- Control of the incubation time in the vapor-solid-solid growth of semiconductor nanowires
- auteur
- M. Orrù, M den Hertog, Eric Robin, Y. Genuist, Régis André, Joel Cibert, Edith Bellet-Amalric
- article
- Applied Physics Letters, 2017, 110 (26), pp.263107. ⟨10.1063/1.4985713⟩
- Accès au texte intégral et bibtex
-
- titre
- Modified quasi-phase-matching and spectral shaping in a nonlinear whispering gallery mode resonator
- auteur
- Aviv Karnieli, Sivan Trajtenberg-Mills, Benoit Boulanger, Ady Arie
- article
- Journal of the Optical Society of America B, 2017, 34 (9), pp.1971-1980. ⟨10.1364/JOSAB.34.001971⟩
- Accès au bibtex
-
- titre
- Study of middle infrared difference frequency generation using a femtosecond laser source in LGT
- auteur
- Elodie Boursier, Giedre Marja Archipovaite, Jean-Christophe Delagnes, Stephane Petit, Guilmot Ernotte, Philippe Lassonde, Patricia Segonds, Benoit Boulanger, Yannick Petit, François Légaré, Dmitry Roshchupkin, Eric Cormier
- article
- Optics Letters, 2017, 42 (18), pp.3698-3701. ⟨10.1364/OL.42.003698⟩
- Accès au texte intégral et bibtex
-
- titre
- Wave mixing at the nanoscale: From plasmonic to hybrid structures (Conference Presentation)
- auteur
- Maeliss Ethis de Corny, Nicolas Chauvet, Guillaume Laurent, Mathieu Jeannin, Aurélien Drezet, Serge Huant, Thierry Gacoin, Géraldine Dantelle, Gilles Nogues, Guillaume Bachelier
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2017, Ultrafast Phenomena and Nanophotonics XXI, 10102, pp.101021N. ⟨10.1117/12.2251808⟩
- Accès au bibtex
-
- titre
- Ultra-low threshold polariton lasing at room temperature in a GaN membrane microcavity with a zero-dimensional trap
- auteur
- R. Jayaprakash, F. G. Kalaitzakis, G. Christmann, K. Tsagaraki, Moïra Hocevar, B. Gayral, E. Monroy, N.-T. Pelekanos
- article
- Scientific Reports, 2017, 7, pp.5542. ⟨10.1038/s41598-017-06125-y⟩
- Accès au texte intégral et bibtex
-
- titre
- A strategy to increase phosphor brightness: Application with Ce3+-doped Gd3Sc2Al3O12
- auteur
- Lucie Devys, Géraldine Dantelle, Geneva Laurita, Estelle Homeyer, Isabelle Gautier-Luneau, Christophe Dujardin, Ram Seshadri, Thierry Gacoin
- article
- Journal of Luminescence, 2017, 190, pp.62-68. ⟨10.1016/j.jlumin.2017.05.035⟩
- Accès au texte intégral et bibtex
-
- titre
- Theory of charge density wave depinning by electromechanical effect
- auteur
- Pascal Quemerais
- article
- EPL - Europhysics Letters, 2017, 117 (5), pp.57004. ⟨10.1209/0295-5075/117/57004⟩
- Accès au texte intégral et bibtex
-
- titre
- Observing a quantum Maxwell demon at work
- auteur
- Nathanaël Cottet, Sebastien Jezouin, Landry Bretheau, Philippe Campagne-Ibarcq, Quentin Ficheux, Janet Anders, Alexia Auffèves, Rémi Azouit, Pierre Rouchon, Benjamin Huard
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2017, 114 (29), pp.7561-7564. ⟨10.1073/pnas.1704827114⟩
- Accès au texte intégral et bibtex
-
- titre
- Description of spontaneous photon emission and local density of states in the presence of a lossy polaritonic inhomogeneous medium
- auteur
- Aurelien Drezet
- article
- Physical Review A, 2017, 95 (4), pp.043844. ⟨10.1103/PhysRevA.95.043844⟩
- Accès au texte intégral et bibtex
-
- titre
- Growth and properties of CoO/Fe perpendicular exchange coupled ultra-thin films
- auteur
- Anne Lamirand, Stéphane Grenier, Aline Y. Y. Ramos, Maurizio de Santis, Aude Bailly, E. Mossang, J.-M. Tonnerre, D. Testemale, H. C. N. Tolentino, N. Jaouen, M. M Soares, M M Jamet, O Proux
- article
- Journal of Magnetism and Magnetic Materials, 2017, 443, pp.195 - 201. ⟨10.1016/j.jmmm.2017.07.079⟩
- Accès au bibtex
-
- titre
- Characterization of breakdown behavior of diamond Schottky barrier diodes using impact ionization coefficients
- auteur
- Khaled Driche, H. Umezawa, Nicolas Clément, Jean-Paul Rouger, Gauthier Chicot, Etienne Gheeraert
- article
- Japanese Journal of Applied Physics, 2017, 56 (4S), pp.04CR12. ⟨10.7567/JJAP.56.04CR12⟩
- Accès au bibtex
-
- titre
- From nano to microcrystals: effects of different synthetic pathways on the defect architecture in heavily Gd-doped ceria
- auteur
- M. Coduri, M. Scavini, M. Pani, M. Carnasciali, Holger Klein, C. Artini
- article
- Physical Chemistry Chemical Physics, 2017, 19 (18), pp.11612-11630. ⟨10.1039/C6CP08173H⟩
- Accès au bibtex
-
- titre
- Geochemical analysis of the painted panels at the "Geyornis" rock art site, Arnhem Land, Australia
- auteur
- Emilie Chalmin, Géraldine Castets, Jean-Jacques Delannoy, Bruno David, Bryce Barker, Lara Lamb, Fayçal Soufi, Sébastien Pairis, Sophie Cersoy, Pauline Martinetto, Jean Michel Geneste, Stéphane Hoerlé, Thomas Richards, Robert Gunn
- article
- Quaternary International, 2017, 430 (Part A), pp.60-80. ⟨10.1016/j.quaint.2016.04.003⟩
- Accès au bibtex
-
- titre
- Fermi resonance in CO2: Mode assignment and quantum nuclear effects from first principles molecular dynamics
- auteur
- Marie Basire, Félix Mouhat, Guillaume Fraux, Amélie Bordage, Jean-Louis Hazemann, Marion Louvel, Riccardo Spezia, Sara Bonella, Rodolphe Vuilleumier
- article
- Journal of Chemical Physics, 2017, 146 (13), pp.134102. ⟨10.1063/1.4979199⟩
- Accès au bibtex
-
- titre
- Determination of paramagnetic concentrations inside a diamagnetic matrix using solid-state NMR
- auteur
- Sébastien Maron, Nadège Ollier, Thierry Gacoin, Géraldine Dantelle
- article
- Physical Chemistry Chemical Physics, 2017, 19 (19), pp.12175-12184. ⟨10.1039/c7cp00451f⟩
- Accès au texte intégral et bibtex
-
- titre
- Frequency conversion in the acentric tetragonal nonlinear crystal NaI_3O_8
- auteur
- Feng Guo, Patricia Segonds, Julien Zaccaro, Jérome Debray, Isabelle Gautier-Luneau, Benoit Boulanger
- article
- Optical Materials Express, 2017, 7 (5), pp.1672-1678. ⟨10.1364/OME.7.001672⟩
- Accès au bibtex
-
- titre
- Feature issue introduction: shaping and patterning crystals for optics
- auteur
- Carlota Canalias, Sergey Mirov, Takunori Taira, Benoit Boulanger
- article
- Optical Materials Express, 2017, 7 (9), pp.3466-3470. ⟨10.1364/OME.7.003466⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and physical properties of the high pressure perovskite layered Sr 4 Cr 3 O 10 chromate
- auteur
- Justin Jeanneau, Christophe Lepoittevin, André Sulpice, Stéphanie Kodjikian, Pierre Toulemonde, Manuel Núñez-Regueiro
- article
- Journal of Solid State Chemistry, 2017, 251, pp.164-169. ⟨10.1016/j.jssc.2017.04.016⟩
- Accès au texte intégral et bibtex
-
- titre
- Porphyrin- or phthalocyanine-bridged silsesquioxane nanoparticles for two-photon photodynamic therapy or photoacoustic imaging
- auteur
- Chiara Mauriello-Jimenez, Maxime Henry, Dina Aggad, Laurence Raehm, Xavier Cattoën, Michel Wong Chi Man, Clarence Charnay, Serkan Alpugan, Vefa Ahsen, Deniz Kutlu Tarakci, Philippe Maillard, Marie Maynadier, Marcel Garcia, Fabienne Dumoulin, Magali Gary-Bobo, Jean-Luc Coll, Veronique Josserand, Jean-Olivier Durand
- article
- Nanoscale, 2017, 9 (43), pp.16622-16626. ⟨10.1039/C7NR04677D⟩
- Accès au bibtex
-
- titre
- Deep-depletion mode boron doped monocrystalline diamond metal oxide semiconductor field effect transistor
- auteur
- Thanh-Toan Pham, Julien Pernot, Gaëtan Perez, David Eon, Etienne Gheeraert, Nicolas C. Rouger
- article
- IEEE Electron Device Letters, 2017, 38 (11), pp.1571-1574. ⟨10.1109/LED.2017.2755718⟩
- Accès au bibtex
-
- titre
- Integrated temperature sensor with diamond Schottky diodes using a thermosensitive parameter
- auteur
- Gaëtan Perez, Gauthier Chicot, Yvan Avenas, Pierre Lefranc, Pierre-Olivier Jeannin, David Eon, Nicolas C. Rouger
- article
- Diamond and Related Materials, 2017, 78, pp.83-87. ⟨10.1016/j.diamond.2017.08.008⟩
- Accès au texte intégral et bibtex
-
Communication dans un congrès
- titre
- Organic@silicate core-shell nanoparticles and garnet-type oxide nanocrystals as luminescent tracers for biophotonics
- auteur
- Shridevi Shenoi Perdoor, Xavier Cattoën, Fabien Dubois, Géraldine Dantelle, Alain Ibanez
- article
- African Materials Research Society International Conference, MRS, Dec 2017, Gaborone, Botswana
- Accès au bibtex
-
- titre
- Impact of potential fluctuations on admittance spectroscopy: the case of Cu2ZnSn(SxSe1-x)4 thin films solar cells
- auteur
- Frédérique Ducroquet, Louis Grenet, Raphaël Fillon, Henri Mariette
- article
- Journées Nationales du PhotoVoltaïque (JNPV 2017), Dec 2017, Dourdan, France
- Accès au bibtex
-
- titre
- 200V, 4MV/cm lateral diamond MOSFET
- auteur
- Thanh-Toan Pham, Julien Pernot, C. Masante, D. Eon, E. Gheeraert, G. Chicot, F. Udrea, Nicolas C. Rouger
- article
- 2017 IEEE International Electron Devices Meeting (IEDM), Dec 2017, San Francisco, United States. ⟨10.1109/IEDM.2017.8268458⟩
- Accès au bibtex
-
- titre
- Diamond Schottky diodes interactions in power electronics application
- auteur
- Gaetan Perez, Jacques Letellier, Aurélien Maréchal, Pierre-Olivier Jeannin, Pierre Lefranc, D. Eon, Nicolas Clément, Jean-Paul Rouger
- article
- MRS 2017 Fall Meeting, Nov 2017, Boston, United States
- Accès au bibtex
-
- titre
- Highlights of the HVPE for the frowth of GaN and InGaN nanowires
- auteur
- Agnes Trassoudaine, Yamina André, Geoffrey Avit, Evelyne Gil, Dominique Castelluci, Mohammed Zeghouane, Joël Leymarie, François Médard, Catherine Bougerol, Elissa Roche
- article
- 15th Akasaki Research Center Symposium, Nov 2017, Nagoya, Japan
- Accès au bibtex
-
- titre
- Vapor Phase Epitaxy growth of III-V nanostructures for high performance devices
- auteur
- Geoffrey Avit, Yamina Andre, Elissa Roche, Zhenning Dong, Mohammed Zeghouane, Catherine Bougerol, Joël Leymarie, F. Medard, Guillaume Monier, Dominique Castelluci, Kaddour Lekhal, Yoann Robin, Vladimir G. Dubrovskii, Evelyne Gil, Hiroshi Amano, Agnes Trassoudaine
- article
- 8th International Conference and Exhibition on LASERS, OPTICS & PHOTONICS, Nov 2017, Las Vegas, United States
- Accès au bibtex
-
- titre
- Relation structure – propriétés photophysiques de polymères de coordination de thiolates d'or cristallins et amorphes
- auteur
- O. Veselska, N. Guillou, P. Bordet, G. Ledoux, D. Podbevšek, A. Fateeva, M. Monge, A. Demessence
- article
- Rayons X et Matière 2017, Nov 2017, Lille, France
- Accès au bibtex
-
- titre
- Homogeneous and high-quality InGaN nanowires with different indium compositions
- auteur
- Mohammed Zeghouane, Elissa Roche, Geoffrey Avit, Catherine Bougerol, Yamina Andre, Pierre Ferret, François Médard, Joël Leymarie, Dominique Castelluci, Evelyne Gil, Agnès Trassoudaine
- article
- Journées nationales des nanofils, Nov 2017, Grenoble, France
- Accès au bibtex
-
- titre
- Rare-earth doped materials and high precision measurement : toward applications to optical clocks and nano optomechanics
- auteur
- Y. Le Coq, O. Gobron, K. Jung, R. Le Targat, A. Ferrier, P. Goldner, S. Seidelin
- article
- Rare-Earth workshop 2017, Nov 2017, Karlsruhe, Germany
- Accès au bibtex
-
- titre
- New Barium compounds for nonlinear frequency conversion in the Mid-IR: Characterization and first applications
- auteur
- Petrov Valentin, Badikov V, Badikov D, Laptev V, Mitin K, G. Shevyrdyaeva, Kostyukova N, A Boyko, Elodie Boursier, Panyutin V, Shchebetova N, Tyazhev A, Marchev G, Kwasniewski A, Kolker D, Patricia Segonds, Benoit Boulanger
- article
- CGCT-7, Oct 2017, Changchun, China
- Accès au bibtex
-
- titre
- Femtosecond optical parametric interaction in the Langatate LGT
- auteur
- Elodie Boursier, Giedre Marja Archipovaite, Jean-Christophe Delagnes, Stephane Petit, Guilmot Ernotte, Philippe Lassonde, Patricia Segonds, Benoit Boulanger, Yannick Petit, François Legaré, D. Roshchupkin, E Cormier
- article
- Advanced Solid-State Lasers, Oct 2017, Nagoya, Japan
- Accès au bibtex
-
- titre
- Shaping and use of crystals as spheres and cylinders for linear and nonlinear optics
- auteur
- Bertrand Ménaert, Jérôme Debray, Julien Zaccaro, Patricia Segonds, Benoit Boulanger
- article
- Advances Solid-State Lasers, Oct 2017, Nagoya, Japan
- Accès au bibtex
-
- titre
- Quadratic nonlinear optical properties of the new crystal La3Ga5.5Nb0.5O14
- auteur
- F. Guo, Dazhi Lu, Patricia Segonds, Jérôme Debray, T Xu, H Yu, Q Fu, H Zhang, X Zhang, J Wang, Benoit Boulanger
- article
- Advanced Solid State Lasers, Oct 2017, Nagoya, Japan
- Accès au bibtex
-
- titre
- Perception et immersion interactive dans le Nanomonde : Sa mise en scène grâce à la réalité virtuelle et aux interfaces haptiques
- auteur
- Florence Marchi
- article
- Journées Jacques Cartier 2017 sur les changements d'échelles, Oct 2017, Montréal, Canada
- Accès au texte intégral et bibtex
-
- titre
- Hydride Vapor Phase Epitaxy (HVPE) growth of III-V and III-Nitrides nanowires on silicon
- auteur
- Yamina Andre, Zhenning Dong, Elissa Roche, Geoffrey Avit, Vladimir G. Dubrovskii, Catherine Bougerol, Christelle Varenne, Fabrice Audonnet, Pierre Fontanille, Joël Leymarie, François Médard, Guillaume Monier, François Reveret, Dominique Castelluci, Agnes Trassoudaine, Evelyne Gil
- article
- Energy Materials Nanotechnology EMN Epitaxy, EMN Europe Meetings, Sep 2017, Barcelone, Spain
- Accès au bibtex
-
- titre
- Parallel and interleaved structures for diamond Schottky diodes
- auteur
- Gaëtan Perez, Pierre Lefranc, Pierre-Olivier Jeannin, David Eon, Nicolas Clément, Jean-Paul Rouger
- article
- EPE 2017 (ECCE Europe), Sep 2017, Varsovie, Poland
- Accès au bibtex
-
- titre
- H bond spring behaviour in hybrid silica under pressure
- auteur
- Rozenn Le Parc, Vânia Freitas, G. Creff, M. Wong Chi Man, Xavier Cattoën, Patrick Hermet, Rute A. S. Ferreira, Luis D. Carlos, John R. Bartlett, Jean-Louis Bantignies
- article
- Horizons in Hydrogen Bond Research, Sep 2017, Jyväskylä, Finland
- Accès au bibtex
-
- titre
- Triple Photon Generation in Nonlinear Optical Materials
- auteur
- Véronique Boutou, Augustin Vernay, Corinne Félix, Patricia Segonds, Benoit Boulanger, Florent Bassignot, Mathieu Chauvet, Kamel Bencheikh, Ariel Levenson
- article
- JNCO-formation Cristaux, Micro-nano-structures et Dispositifs pour l’Optique, Sep 2017, Paris, France
- Accès au bibtex
-
- titre
- Operating temperature as a trade-off parameter for designing drift region of diamond power devices
- auteur
- Gauthier Chicot, David Eon, Nicolas Clément, Jean-Paul Rouger
- article
- 28th International Conference on Diamond and Carbon Materials, Sep 2017, Göteborg, Sweden
- Accès au bibtex
-
- titre
- Multiple functionalization of silica-based materials by click chemistry
- auteur
- Achraf Noureddine, Jonas Croissant, Magali Gary-Bobo, Marcel Garcia, Marie Maynadier, Jean-Olivier Durand, Michel Wong Chi Man, Xavier Cattoën
- article
- 19th International Sol-gel Conference, Sep 2017, Liège, Belgium
- Accès au bibtex
-
- titre
- Fluorescent organic@silicate coreshell nanoparticles for in vivo vascular imaging
- auteur
- Shridevi Shenoi Perdoor, Xavier Cattoën, Fabien Dubois, Yann Bretonnière, Chantal Andraud, Alain Ibanez
- article
- 19th International Sol-gel Conference, Sep 2017, Liège, Belgium
- Accès au bibtex
-
- titre
- Soluble Two-Dimensional Covalent Organometallic Polymers by(Arene)Ruthenium-Sulfur Chemistry
- auteur
- Johann Coraux, Wael Hourani, Valentin Muller, Nedjma Bendiab, Martien den Hertog, Roland Salut, Frédéric Cherioux
- article
- 1st European Conference on Chemistry of Two-Dimensional Materials (Chem2DMat), Aug 2017, Strasbourg, France
- Accès au texte intégral et bibtex
-
- titre
- Solving an unknown phase in a HP/HT Sr-Cu-Ge-O sample
- auteur
- Holger Klein, Rémy Philippe, Stéphanie Kodjikian, Claire Colin, C. Darie, Pierre Bordet
- article
- International Union of Crystallography XXIV, Aug 2017, Hyderabad, India
- Accès au bibtex
-
- titre
- Discovery of new high pressure magnetic phases in Fe and FeSe using x-ray emission spectroscopy
- auteur
- B. Lebert, J. Ablett, V. Balédent, F. Baudelet, M. Casula, T. Gorni, S. Klotz, A. Polian, Pierre Toulemonde, M. D’astuto, Jean-Pascal Rueff
- article
- 26th International Conference on High Pressure Science and Technology (AIRAPT 26), Aug 2017, Pekin (Chine), China
- Accès au bibtex
-
- titre
- Potential fluctuations on CZTSSe solar cells admittance
- auteur
- Frédérique Ducroquet, Louis Grenet, Raphaël Fillon, Henri Mariette
- article
- 29th International Conference on Defects in Semiconductors, Jul 2017, Matsue, Japan
- Accès au texte intégral et bibtex
-
- titre
- Impact of potential fluctuations on admittance spectroscopy: application to Cu2ZnSn(SxSe1-x)4 thin films solar cells
- auteur
- Frédérique Ducroquet, Louis Grenet, Raphaël Fillon, Henri Mariette
- article
- 29th International Conference on Defects and Semiconductors, Jul 2017, Matsue, Japan
- Accès au bibtex
-
- titre
- Strongly directional scattering from dielectric nanowires
- auteur
- Vincent Paillard, Peter Wiecha, Arnaud Arbouet, Aurelien Cuche, Christian Girard, Gerard Colas Des Francs, Aurélie Lecestre, Guilhem Larrieu, Vincent Larrey, Frank Fournel, Thierry Baron
- article
- META 2017, Symp. 14 "Fano resonances in optics and microwaves, Jul 2017, Incheon- Seoul, South Korea
- Accès au bibtex
-
- titre
- Luminescent Gold(I)-Thiophenolate Coordination Polymers as Phase Change Materials and Precursors for the Formation of Multifunctional Nanocomposites
- auteur
- A. Demessence, O. Veselska, N. Guillou, M. Monge, G. Ledoux,, A. Fateeva,, P. Bordet,
- article
- 13th International Conference on Materials Chemistry, MC13, Jul 2017, Liverpool, United Kingdom
- Accès au bibtex
-
- titre
- When high pressure-high temperature synthesis helps to discover new oxides: examples from multiferroism and magnetic frustration
- auteur
- Céline Darie, Peng Zuo, Céline Goujon, Murielle Legendre, Claire V. Colin, Holger Klein, Pierre Bordet
- article
- TEAM-Ist, Transpyrenean Encounter on Advanced Materials, Jul 2017, Sète, France
- Accès au bibtex
-
- titre
- InGaN/GaN nanowire flexible light emitting diodes and photodetectors
- auteur
- Nan Guan, Xing Dai, Hezhi Zhang, Lorenzo Mancini, Akanksha Kapoor, Catherine Bougerol, François Julien, Nicolas Cavassilas, Martin Foldyna, Christophe Durand, J. Eymery, Maria Tchernycheva
- article
- 2017 19th International Conference on Transparent Optical Networks (ICTON), Jul 2017, Girona, Spain. ⟨10.1109/ICTON.2017.8024808⟩
- Accès au bibtex
-
- titre
- Luminescent gold(I)-thiophenolate coordination polymers as phase change materials
- auteur
- W. Salomon, O. Veselska, A. Demessence, S. Horike, N. Guillou, G. Ledoux, A. Fateeva, P. Bordet
- article
- 1st Molecular Technology Workshop : Energy and Electron Transfers in Molecular Engineered Materials, Jun 2017, Strasbourg, France
- Accès au bibtex
-
- titre
- Ultra-low power optical transistor using a single quantum dot embedded in a photonic wire
- auteur
- H.-A. Nguyen, T. Grange, N. Malik, E. Dupuy, D. Tumanov, P.-L. de Assis, I. Yeo, F. Fratini, N. Gregersen, Alexia Auffèves, Jean-Michel Gérard, J. Claudon, J.-P. Poizat
- article
- 2017 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC), Jun 2017, Munich, Germany. ⟨10.1109/CLEOE-EQEC.2017.8087598⟩
- Accès au bibtex
-
- titre
- Multiple functionalization of silica-based materials by click chemistry
- auteur
- Achraf Noureddine, Jonas Croissant, Magali Gary-Bobo, Marcel Garcia, Marie Maynadier, Jean-Olivier Durand, Michel Wong Chi Man, Xavier Cattoën
- article
- NanoApp, Jun 2017, Bled, Slovenia
- Accès au bibtex
-
- titre
- Self-assembling through H bonds in urea and thiourea based bridged silsesquioxanes
- auteur
- Rozenn Le Parc, Vânia Freitas, A.F. Sbardelotto, Michel Wong Chi Man, Xavier Cattoën, Rute A. S. Ferreira, Luis D. Carlos, John R. Bartlett, Jean-Louis Bantignies
- article
- Nanomaterials and application, NANOAPP, 2017, Jun 2017, Bled, Slovenia
- Accès au bibtex
-
- titre
- Admittance spectroscopy including the effects of potential fluctuations on CZTSSe thin film solar cells
- auteur
- Frédérique Ducroquet, Louis Grenet, Raphaël Fillon, Henri Mariette
- article
- Journées Energie du Labex LANEF: Photovoltaïque: couches minces et concepts avancés, May 2017, Grenoble, France
- Accès au bibtex
-
- titre
- Optical spectroscopy of Cu2ZnSnS4 single crystals
- auteur
- Joël Bleuse, Taavi Raadik, Frédérique Ducroquet, Maarja Grossberg, Henri Mariette
- article
- Journées Energie du Labex LANEF: Photovoltaïque: couches minces et concepts avancés, May 2017, Grenoble, France
- Accès au bibtex
-
- titre
- Diamond Devices for Power Electronics
- auteur
- Nicolas Clément, Jean-Paul Rouger, Thanh-Toan Pham, Gaetan Perez, Masante Cédric, Pierre Lefranc, Pierre-Olivier Jeannin, David Eon, Julien Pernot
- article
- 11th Conference on New Diamond and Nano Carbons, May 2017, Cairns, Australia
- Accès au bibtex
-
- titre
- Flexible Light Emitting Diodes Based on Nitride Nanowires
- auteur
- Nan Guan, Xing Dai, Agnes Messanvi, Hezhi Zhang, Jianchang Yan, Eric Gautier, Catherine Bougerol, Martin Vallo, François Julien, Christophe Durand, J. Eymery, Maria Tchernycheva
- article
- CLEO: Science and Innovations, May 2017, San Jose, United States. pp.STh3I.1
- Accès au bibtex
-
- titre
- A simple process for fabricating flat composite micromagnet arrays based on PDMS and rare earth magnetic powders
- auteur
- David Royet, Julien Marchalot, Anjali Seth, Nora Dempsey, Thibaut Devillers, Simon Le Denmat, Marie Frénéa-Robin
- article
- INTERMAG, Apr 2017, Dublin, Ireland. pp.GP-18
- Accès au bibtex
-
- titre
- Effect of Carbon Addition on Magnetic Order in Mn-Al-C Alloys
- auteur
- Muriel Tyrman, Alexandre Pasko, Loïc Perrière, Victor H. Etgens, Olivier Isnard, Frédéric Mazaleyrat
- article
- 2017 IEEE International Magnetics Conference (INTERMAG 2017), Apr 2017, Dublin, Ireland
- Accès au bibtex
-
- titre
- Luminescent Gold(I)-Thiophenolate Coordination Polymers as Phase Change Materials and Precursors for the Formation of Multifunctional Nanocomposites
- auteur
- A. Demessence, O. Veselska, N. Guillou, M. Monge, G. Ledoux, A. Fateeva, P. Bordet
- article
- ACS spring meeting, Apr 2017, San Francisco, United States
- Accès au bibtex
-
- titre
- Generation of Parametric Light: from the study of crystals to the implementation of devices
- auteur
- Patricia Segonds, Benoit Boulanger
- article
- AMPSECA'2017, Mar 2017, Agadir, Morocco
- Accès au bibtex
-
- titre
- New Ba-Based Crystals for Nonlinear Frequency Conversion in the Mid-IR
- auteur
- Valentin Petrov, Badikov V, Badikov D, Laptev V. B., Mitin K. V., Shevyrdyaeva G. S., Kwasniewski A, Elodie Boursier, Shchebetova N. I., Tyazhev A, Marchev G, Panyutin V, Patricia Segonds, Benoit Boulanger
- article
- The 6th Advanced Lasers and Photon Sources (ALPS'17), Mar 2017, Yokohama, Japan
- Accès au bibtex
-
- titre
- Operating temperature consideration in the trade-off for designing drift region of diamond power devices
- auteur
- Gauthier Chicot, David Eon, Nicolas Clément, Jean-Paul Rouger
- article
- Hasselt Diamond Workshop 2017 - SBDD XXII, Mar 2017, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Nonlinear optical properties of the new acentric crystal NaI3O8
- auteur
- Feng Guo, Patricia Segonds, Julien Zaccaro, Jérôme Debray, Isabelle Gautier-Luneau, Benoit Boulanger
- article
- French-Israel Symposium on Nonlinear & Quantum Optics FRISNO'14, Mar 2017, Ein Gedi, Italy
- Accès au bibtex
-
- titre
- High energy resolution fluorescence detected x-ray absorption spectroscopy: a new powerful structural tool in environmental & geochemistry sciences
- auteur
- Eric Lahera, William Delnet, Isabelle Kieffer, M. Rovezzi, Olivier Proux, Denis Testemale, Antonio F Aguilar-Tapia, E. F. Bazarkina, Alain Prat, Marie Tella, Melanie Auffan, Jérôme Rose, Jean-Louis F Hazemann
- article
- Goldschmidt2017, 2017, Paris, France
- Accès au bibtex
-
- titre
- Dimensioning and Testing Planar Inductors for High Frequency Operation
- auteur
- Gerard Delette, Gaëlla Frajer, Cyril Rado, Pierre Perichon, Florence Servant, Hervé Chazal, Olivier Isnard
- article
- PCIM Europe 2017; International Exhibition and Conference for Power Electronics, Intelligent Motion, Renewable Energy and Energy Management; Proceedings of, 2017, Nuremberg, Germany
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Diluted Magnetic Semiconductors: Basic Physics and Optical Properties. Second edition
- auteur
- Joel Cibert, Denis Scalbert
- article
- M. Dyakonov. Spin physics in semiconductors, 157, Springer, pp.477-524, 2017, Springer Series in Solid-State Sciences, 978-3-319-65435-5
- Accès au bibtex
-
- titre
- A comparative study of the Co-based amorphous alloy prepared by mechanical alloying and rapid quenching
- auteur
- Bogdan Neamtu, Traian Florin Marinca, Florin Chicinaş, Florin Popa, Ionel Chicinas, O. Isnard, Gabriel Ababei, Mihai Sebastian Gabor
- article
- Powder metallurgy and advanced materials, 8, Materials Research Forum, pp.157-166, 2017, Materials research proceedings, ⟨10.21741/9781945291999-18⟩
- Accès au bibtex
-
- titre
- Matériaux Magnétocaloriques
- auteur
- Charlotte Mayer, Salvatore Miraglia, Stéphane Gorsse
- article
- Techniques de l'ingénieur. Constantes physico-chimiques, Techniques de l'ingénieur, pp.K733, 2017, ⟨10.51257/a-v1-k733⟩
- Accès au bibtex
-
HDR
- titre
- Structure et propriétés magnéto-électriques de nouveaux oxydes
- auteur
- Claire V. Colin
- article
- Science des matériaux [cond-mat.mtrl-sci]. Communauté Universite Grenoble Alpes, 2017
- Accès au texte intégral et bibtex
-
- titre
- Matériaux pour le stockage et la conversion de l'énergie
- auteur
- Laetitia Laversenne
- article
- Matériaux. ComUE Grenoble-Alpes, 2017
- Accès au texte intégral et bibtex
-
Poster de conférence
- titre
- Scanning Thermal Microscopy (SThM) using micro-fabricated thermometric tip
- auteur
- Rahul Swami, Gwénäelle Julié, Simon Le Denmat, Jean-François Motte, Pierre-Olivier Chapuis, Séverine Gomes, Olivier Bourgeois
- article
- GDRe CNRS 'Thermal Nanosciences and Nanoengineering', Nov 2017, Lille, France. 2017
- Accès au bibtex
-
- titre
- Broken symmetry of in-gap quasiparticle excitations in superconducting Fe1+xSe(100)
- auteur
- Jonathan Baptista, Stéphane Pons, Sergio Vlaic, Pierre Toulemonde, Dimitri Roditchev
- article
- The 8th internationnal symposium on Surface Science ISSS8, Oct 2017, Tsukuba, Japan
- Accès au bibtex
-
- titre
- Defect-free InGaN nanowires on silicon whatever the indium composition
- auteur
- Mohammed Zeghouane, Elissa Roche, Geoffrey Avit, Yamina Andre, Catherine Bougerol, Joël Leymarie, François Médard, Pierre Ferret, Benjamin Damilano, Evelyne Gil, Dominique Castelluci, Agnès Trassoudaine
- article
- RÉUNION PLÉNIÈRE DU GDR PULSE 2017, Oct 2017, Paris, France
- Accès au bibtex
-
- titre
- Scanning Thermal Microscopy using tips functionalized with a NbN thermometer
- auteur
- Rahul Swami, Gwénäelle Julié, Simon Le Denmat, Jean-François Motte, Pierre-Olivier Chapuis, Séverine Gomès, Olivier Bourgeois
- article
- Phonon School 2017: Wave phenomena and Phonon thermal transport, Sep 2017, Oléron, France. 2017
- Accès au bibtex
-
- titre
- Defect-free InGaN nanowires on silicon whatever the indium composition
- auteur
- Geoffrey Avit, Elissa Roche, Mohammed Zeghouane, Yamina Andre, Catherine Bougerol, Joël Leymarie, François Médard, Benjamin Damilano, Evelyne Gil, Dominique Castelluci, Agnès Trassoudaine
- article
- ICNS 12 - 12th International Conference on Nitride Semiconductors, Jul 2017, Strasbourg, France
- Accès au bibtex
-
- titre
- Boron Characterization in Intermetallic Bulk or Thin Film Alloys using Scanning Electron Microscopy and Electron Probe Microanalysis
- auteur
- Sébastien Pairis, Nora Dempsey, Sophie Rivoirard, Olivier Isnard
- article
- EMAS 2017 - 15th European Workshop on modern developments and applications in microbeam analysis and IUMAS-7 Meeting, May 2017, Konstanz, Germany. , 2017
- Accès au texte intégral et bibtex
-
Thèse
- titre
- Etude des perturbations optiques et du crazing dans les cristaux de DKDP
- auteur
- Juliette Piquard
- article
- Chimie organique. Université Grenoble Alpes, 2017. Français. ⟨NNT : 2017GREAI097⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis, structural and physical studies of doubly ordered perovskite NaLnCoWO6 : pursuing new multiferroics based on hybrid improper ferroelectricity
- auteur
- Peng Zuo
- article
- Micro and nanotechnologies/Microelectronics. Université Grenoble Alpes, 2017. English. ⟨NNT : 2017GREAI113⟩
- Accès au texte intégral et bibtex
-
- titre
- Semiconductor nanowires for ultimate magnetic objects : growth mechanism
- auteur
- Marta Orrù
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2017. English. ⟨NNT : 2017GREAY061⟩
- Accès au texte intégral et bibtex
-
- titre
- High resolution X-ray spectroscopy on hydrothermal fluids
- auteur
- Mohammed Irar
- article
- Fluid Dynamics [physics.flu-dyn]. Université Grenoble Alpes, 2017. English. ⟨NNT : 2017GREAY060⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermodynamics of quantum open systems : applications in quantum optics and optomechanics
- auteur
- Cyril Elouard
- article
- Mesoscopic Systems and Quantum Hall Effect [cond-mat.mes-hall]. Université Grenoble Alpes, 2017. English. ⟨NNT : 2017GREAY046⟩
- Accès au texte intégral et bibtex
-
- titre
- Habillage mécanique d'un nanofil par un champ de force : de la mesure vectorielle ultrasensible aux systèmes quantiques hybrides
- auteur
- Laure Mercier de Lépinay
- article
- Physique Quantique [quant-ph]. Université Grenoble Alpes, 2017. Français. ⟨NNT : 2017GREAY016⟩
- Accès au texte intégral et bibtex
-
- titre
- Actuation and motion detection of different micro- and nano-structures
- auteur
- Dmitrii Tumanov
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2017. English. ⟨NNT : 2017GREAY045⟩
- Accès au texte intégral et bibtex
-
- titre
- Croissance latérale MPCVD de diamant en homoépitaxie pour dispositifs électroniques de puissance
- auteur
- Fernando Lloret Vieira
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université Grenoble Alpes; Universidad de Cádiz, 2017. Français. ⟨NNT : 2017GREAY041⟩
- Accès au texte intégral et bibtex
-
- titre
- Nonequilibrium modeling of solar cells : quantum effects at the nanoscale level
- auteur
- Tahereh Nematiaram
- article
- Optics [physics.optics]. Université Grenoble Alpes; University of Tabriz (République islamique d'Iran), 2017. English. ⟨NNT : 2017GREAY056⟩
- Accès au texte intégral et bibtex
-
- titre
- Development and advanced characterization of high performance hard magnetic materials
- auteur
- Svetlana Ponomareva
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes; Université polytechnique de Tomsk (Russie), 2017. English. ⟨NNT : 2017GREAY035⟩
- Accès au texte intégral et bibtex
-
- titre
- Matériaux magnétiques doux Fe-Si de hautes performances obtenus par mécanosynthèse
- auteur
- Cristina Daniela Stanciu
- article
- Mécanique des matériaux [physics.class-ph]. Université Grenoble Alpes; Universitatea tehnică (Cluj-Napoca, Roumanie), 2017. Français. ⟨NNT : 2017GREAY020⟩
- Accès au texte intégral et bibtex
-
- titre
- Mastering the O-diamond/Al2O3 interface for unipolar boron doped diamond field effect transistor
- auteur
- Thanh-Toan Pham
- article
- Electronics. Université Grenoble Alpes, 2017. English. ⟨NNT : 2017GREAT051⟩
- Accès au texte intégral et bibtex
-
- titre
- In-situ transmission electron microscopy studies of metal-Ge nanowire solid-state reactions
- auteur
- Khalil El Hajraoui
- article
- Instrumentation and Detectors [physics.ins-det]. Université Grenoble Alpes, 2017. English. ⟨NNT : 2017GREAY012⟩
- Accès au texte intégral et bibtex
-
- titre
- N and p-type doping of GaN nanowires : from growth to electrical properties
- auteur
- Zhihua Fang
- article
- Condensed Matter [cond-mat]. Université Grenoble Alpes, 2017. English. ⟨NNT : 2017GREAY007⟩
- Accès au texte intégral et bibtex
-
2016
Article dans une revue
- titre
- Deviation from the normal mode expansion in a coupled graphene-nanomechanical system
- auteur
- Cornelia Schwarz, Benjamin Pigeau, Laure Mercier de Lépinay, Aurélien G. Kuhn, Dipankar Kalita, Nedjma Bendiab, Laëtitia Marty, Vincent Bouchiat, Olivier Arcizet
- article
- Physical Review Applied, 2016, 6 (6), pp.064021. ⟨10.1103/PhysRevApplied.6.064021⟩
- Accès au texte intégral et bibtex
-
- titre
- Single Photon in Hierarchical Architecture for Physical Decision Making: Photon Intelligence
- auteur
- Makoto Naruse, Martin Berthel, Aurelien Drezet, Serge Huant, Hirokazu Hori, Song-Ju Kim
- article
- ACS photonics, 2016, 3 (12), pp.2505 - 2514. ⟨10.1021/acsphotonics.6b00742⟩
- Accès au bibtex
-
- titre
- Recyclable Silica-Supported Proline Sulphonamide Organocatalysts for Asymmetric Direct Aldol Reaction
- auteur
- Meritxell Ferré, Xavier Cattoën, Michel Wong Chi Man, Roser Pleixats
- article
- ChemistrySelect, 2016, 1 (21), pp.6741 - 6748. ⟨10.1002/slct.201601859⟩
- Accès au bibtex
-
- titre
- Beyond Strain and Ligand Effects: Microstrain-Induced Enhancement of the Oxygen Reduction Reaction Kinetics on Various PtNi/C Nanostructures
- auteur
- Raphaël Chattot, Tristan Asset, Pierre Bordet, Jakub Drnec, Laetitia Dubau, Frédéric Maillard
- article
- ACS Catalysis, 2016, 7 (1), pp.398 - 408. ⟨10.1021/acscatal.6b02356⟩
- Accès au bibtex
-
- titre
- Room Temperature Magnetic Switchability Assisted by Hysteretic Valence Tautomerism in a Layered Two-Dimensional Manganese-Radical Coordination Framework
- auteur
- Anthony Lannes, Yan Suffren, Jean Bernard Tommasino, Rodica Chiriac, François Toche, Lhoussain Khrouz, Florian Molton, Carole Duboc, Isabelle Kieffer, Jean-Louis Hazemann, Christian Reber, Andreas Hauser, Dominique Luneau
- article
- Journal of the American Chemical Society, 2016, 138 (50), pp.16493-16501. ⟨10.1021/jacs.6b10544⟩
- Accès au texte intégral et bibtex
-
- titre
- Out-of-equilibrium force measurements of dual-fiber optical tweezers
- auteur
- Jochen Fick
- article
- Optics Letters, 2016, 41 (24), pp.5716-5719. ⟨10.1364/OL.41.005716⟩
- Accès au bibtex
-
- titre
- Exciton Dipole–Dipole Interaction in a Single Coupled-Quantum-Dot Structure via Polarized Excitation
- auteur
- Heedae Kim, Inhong Kim, Kwangseuk Kyhm, Robert Taylor, Jong Su Kim, Jin Dong Song, Koo Chul Je, Le Si Dang
- article
- Nano Letters, 2016, 16 (12), pp.7755-7760. ⟨10.1021/acs.nanolett.6b03868⟩
- Accès au bibtex
-
- titre
- Avalanche-like magnetization process in itinerant-electron system LaFe 12 B 6
- auteur
- L.V.B. Diop, O. Isnard
- article
- Journal of Alloys and Compounds, 2016, 688, pp.953-957. ⟨10.1016/j.jallcom.2016.07.124⟩
- Accès au bibtex
-
- titre
- Strained GaAs/InGaAs Core-Shell Nanowires for Photovoltaic Applications
- auteur
- K. Moratis, Siew Li Tan, S. Germanis, C. Katsidis, M. Androulidaki, K. Tsagaraki, Z. Hatzopoulos, F. Donatini, Joel Cibert, Yann-Michel Niquet, H. Mariette, N.-T. Pelekanos
- article
- Nanoscale Research Letters, 2016, 11 (1), pp.176. ⟨10.1186/s11671-016-1384-y⟩
- Accès au bibtex
-
- titre
- Polarization of the photoluminescence of quantum dots incorporated into quantum wires
- auteur
- A. Platonov, V. Kochereshko, V. Kats, G. Cirlin, A. Bouravleuv, V. Avdoshina, A. Delga, L. Besombes, H. Mariette
- article
- Fizika i tekhnika poluprovodnicov / Semiconductors, 2016, 50 (12), pp.1647-1650. ⟨10.1134/S1063782616120150⟩
- Accès au bibtex
-
- titre
- Nanoscale guiding and shaping of indium droplets
- auteur
- Maciej Dąbrowski, Yanan Dai, Moïra Hocevar, Sergey Frolov, Hrvoje Petek
- article
- Applied Physics Letters, 2016, 109 (26), pp.261602. ⟨10.1063/1.4973364⟩
- Accès au bibtex
-
- titre
- Noise and disturbance of qubit measurements: An information-theoretic characterization
- auteur
- Alastair A. Abbott, Cyril Branciard
- article
- Physical Review A, 2016, 94 (6), pp.062110. ⟨10.1103/PhysRevA.94.062110⟩
- Accès au bibtex
-
- titre
- Effect of texture on the structural and transport properties of Sb-doped Mg2Si thin films
- auteur
- C. Prahoveanu, A. Lacoste, C. de Vaulx, K. Azzouz, M. Salaun, Y. Liu, D. Tainoff, Olivier Bourgeois, L. Laversenne
- article
- Journal of Alloys and Compounds, 2016, 688, pp.195-201. ⟨10.1016/j.jallcom.2016.07.111⟩
- Accès au bibtex
-
- titre
- Electronic structure and magnetic properties of the Fe16N2 doped with Ti
- auteur
- D. Benea, O. Isnard, V. Pop
- article
- Journal of Magnetism and Magnetic Materials, 2016, 420, pp.75-80. ⟨10.1016/j.jmmm.2016.06.083⟩
- Accès au bibtex
-
- titre
- Magnetic ordering of YPd 2 Si-type HoNi 2 Si and ErNi 2 Si compounds
- auteur
- A.V. Morozkin, O. Isnard, R. Nirmala, S. Quezado, S. Malik
- article
- Journal of Magnetism and Magnetic Materials, 2016, 419, pp.368-374. ⟨10.1016/j.jmmm.2016.06.049⟩
- Accès au bibtex
-
- titre
- Magnetic properties of the honeycomb oxide Na 2 Co 2 TeO 6
- auteur
- E. Lefrançois, M. Songvilay, J. Robert, G. Nataf, E. Jordan, L. Chaix, Claire Colin, P. Lejay, A. Hadj-Azzem, R. Ballou, V. Simonet
- article
- Physical Review B, 2016, 94 (21), pp.214416. ⟨10.1103/PhysRevB.94.214416⟩
- Accès au bibtex
-
- titre
- Bulk superconductivity at 84 K in the strongly overdoped regime of cuprates
- auteur
- A. Gauzzi, Y. Klein, M. Nisula, M. Karppinen, P. Biswas, H. Saadaoui, E. Morenzoni, P. Manuel, D. Khalyavin, M. Marezio, T. H. Geballe
- article
- Physical Review B, 2016, 94 (18), pp.180509(R). ⟨10.1103/PhysRevB.94.180509⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of Phonons on Dephasing of Individual Excitons in Deterministic Quantum Dot Microlenses
- auteur
- Tomasz Jakubczyk, Valentin Delmonte, Sarah Fischbach, Daniel Wigger, Doris E. Reiter, Quentin Mermillod, Peter Schnauber, Arsenty Kaganskiy, Jan-Hindrik Schulze, André Strittmatter, Sven Rodt, Wolfgang Langbein, Tilmann Kuhn, Stephan Reitzenstein, Jacek Kasprzak
- article
- ACS photonics, 2016, 3 (12), pp.2461 - 2466. ⟨10.1021/acsphotonics.6b00707⟩
- Accès au bibtex
-
- titre
- Spontaneously formation of GaN/AlN core-shell nanowires on sapphire by hydride vapor phase epitaxy
- auteur
- Agnès Trassoudaine, Geoffrey Avit, Yamina André, Mohammed Réda Ramdani, Elissa Roche, Catherine Bougerol, Evelyne Gil, Guillaume Monier, Dominique Castelluci, Vladimir G. Dubroskii
- article
- Journal of Crystal Growth, 2016, 454, pp.1-5. ⟨10.1016/j.jcrysgro.2016.08.031⟩
- Accès au bibtex
-
- titre
- Ge doping of GaN beyond the Mott transition
- auteur
- A. Ajay, J Schörmann, M Jiménez-Rodriguez, C Lim, F Walther, M Rohnke, M Mouton, L Amichi, C. Bougerol, M. den Hertog, E Eickhoff, E. Monroy
- article
- Journal of Physics D: Applied Physics, 2016, 49 (44), pp.445301. ⟨10.1088/0022-3727/49/44/445301⟩
- Accès au bibtex
-
- titre
- Identifying and mapping the polytypes and orientation relationships in ZnO/CdSe core–shell nanowire arrays
- auteur
- Vincent Consonni, Laetitia Rapenne, Gilles Renou, Hervé Roussel, Lionel Gérard, Ramón Cuscó, Lluís Artús, Régis André, Edgar F Rauch
- article
- Nanotechnology, 2016, 27 (44), pp.445712. ⟨10.1088/0957-4484/27/44/445712⟩
- Accès au bibtex
-
- titre
- Dispersive coupling between light and a rare-earth ion doped mechanical resonator
- auteur
- Klaus Mølmer, Yann Le Coq, Signe Seidelin
- article
- Physical Review A, 2016, 94 (5), pp.053804. ⟨10.1103/PhysRevA.94.053804⟩
- Accès au texte intégral et bibtex
-
- titre
- Chiral optical local density of states in a spiral plasmonic cavity
- auteur
- Aline Pham, Martin Berthel, Quanbo Jiang, Joël Bellessa, Serge Huant, Cyriaque Genet, Aurelien Drezet
- article
- Physical Review A, 2016, 94 (5), pp.053850. ⟨10.1103/PhysRevA.94.053850⟩
- Accès au texte intégral et bibtex
-
- titre
- On the condensation of exciton polaritons in microcavities induced by a magnetic field
- auteur
- V. Kochereshko, V. Avdoshina, P. Savvidis, S. Tsintzos, Z. Hatzopoulos, V. Kavokin, L. Besombes, H. Mariette
- article
- Fizika i tekhnika poluprovodnicov / Semiconductors, 2016, 50 (11), pp.1506-1510. ⟨10.1134/S1063782616110142⟩
- Accès au bibtex
-
- titre
- Chemical composition fluctuations and strain relaxation in InGaN nanowires: The role of the metal/nitrogen flux ratio
- auteur
- Xin Zhang, Matthias Belloeil, Pierre-Henri Jouneau, Catherine Bougerol, Bruno Gayral, Bruno Daudin
- article
- Materials Science in Semiconductor Processing, 2016, 55, pp.79 - 84. ⟨10.1016/j.mssp.2016.03.006⟩
- Accès au bibtex
-
- titre
- Phonon–plasmon coupling in Si doped GaN nanowires
- auteur
- E. Rozas-Jiménez, A. Cros, S. Murcia-Mascaros, Z. Fang, B. Daudin
- article
- Materials Science in Semiconductor Processing, 2016, 55, pp.63-66. ⟨10.1016/j.mssp.2016.02.017⟩
- Accès au bibtex
-
- titre
- Amorphisation of Fe-based alloy via wet mechanical alloying assisted by PCA decomposition
- auteur
- B.V. Neamţu, H.F. Chicinaş, T.F. Marinca, O. Isnard, O. Pană, I. Chicinaş
- article
- Materials Chemistry and Physics, 2016, 183, pp.83-92. ⟨10.1016/j.matchemphys.2016.08.005⟩
- Accès au bibtex
-
- titre
- Composition Analysis of III-Nitrides at the Nanometer Scale: Comparison of Energy Dispersive X-ray Spectroscopy and Atom Probe Tomography
- auteur
- Bastien Bonef, Miguel Lopez-Haro, Lynda Amichi, Mark Beeler, Adeline Grenier, Eric Robin, Pierre-Henri Jouneau, Nicolas Mollard, Isabelle Mouton, Catherine Bougerol, Eva Monroy
- article
- Nanoscale Research Letters, 2016, 11, pp.461. ⟨10.1186/s11671-016-1668-2⟩
- Accès au bibtex
-
- titre
- High-Fidelity and Ultrafast Initialization of a Hole Spin Bound to a Te Isoelectronic Center in ZnSe
- auteur
- P. St-Jean, G. Éthier-Majcher, R. André, S. Francoeur
- article
- Physical Review Letters, 2016, 117 (16), pp.167401. ⟨10.1103/PhysRevLett.117.167401⟩
- Accès au bibtex
-
- titre
- Metal-catalyzed oxidation of Aβ and the resulting reorganization of Cu binding sites promote ROS production
- auteur
- Clémence Cheignon, Peter Faller, Denis Testemale, Christelle Hureau, Fabrice Collin
- article
- Metallomics, 2016, 8 (10), pp.1081-1089. ⟨10.1039/C6MT00150E⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimal drift region for diamond power devices
- auteur
- Gauthier Chicot, David Eon, Nicolas C. Rouger
- article
- Diamond and Related Materials, 2016, 69, pp.68-73. ⟨10.1016/j.diamond.2016.07.006⟩
- Accès au texte intégral et bibtex
-
- titre
- Orbital-dependent Fermi surface shrinking as a fingerprint of nematicity in FeSe
- auteur
- Laura Fanfarillo, Joseph Mansart, Pierre Toulemonde, Hervé Cercellier, Patrick Le Fevre, Francois Bertran, Belen Valenzuela, Lara Benfatto, Véronique Brouet
- article
- Physical Review B, 2016, 94 (15), pp.155138. ⟨10.1103/PhysRevB.94.155138⟩
- Accès au bibtex
-
- titre
- Influence of methane concentration on MPCVD overgrowth of 100-oriented etched diamond substrates
- auteur
- Fernando Lloret, Daniel Araújo, David Eon, María del Pilar Villar, Juan-María Gonzalez-Leal, Etienne Bustarret
- article
- physica status solidi (a), 2016, 213 (10), pp.2570 - 2574. ⟨10.1002/pssa.201600182⟩
- Accès au bibtex
-
- titre
- Thermal dependence of free exciton emission in ultraviolet cathodoluminescence of colloidal ZnS
- auteur
- Hong Van Bui, van Ben Pham, Dang Le Si, Nam Nhat Hoang
- article
- Journal of Luminescence, 2016, 178, pp.134-138. ⟨10.1016/j.jlumin.2016.05.054⟩
- Accès au bibtex
-
- titre
- A review of the coordination chemistry of hydrothermal systems, or do coordination changes make ore deposits?
- auteur
- Joël Brugger, Weihua Liu, Barbara Etschmann, Yuan Mei, David M. Sherman, Denis Testemale
- article
- Chemical Geology, 2016, 447, pp.219-253. ⟨10.1016/j.chemgeo.2016.10.021⟩
- Accès au bibtex
-
- titre
- Multipartite causal correlations: Polytopes and inequalities
- auteur
- Alastair A. Abbott, Christina Giarmatzi, Fabio Costa, Cyril Branciard
- article
- Physical Review A, 2016, 94 (3), pp.032131. ⟨10.1103/PhysRevA.94.032131⟩
- Accès au bibtex
-
- titre
- Modeling of molecular photocells: Application to two-level photovoltaic system with electron-hole interaction
- auteur
- Tahereh Nematiaram, Petrutza Anghel-Vasilescu, Asghar Asgari, Matthias Ernzerhof, Didier Mayou
- article
- Journal of Chemical Physics, 2016, 145 (12), pp.124116. ⟨10.1063/1.4963335⟩
- Accès au texte intégral et bibtex
-
- titre
- Direct assessment of p–n junctions in single GaN nanowires by Kelvin probe force microscopy
- auteur
- Albert Minj, Ana Cros, Thomas Auzelle, Julien Pernot, Bruno Daudin
- article
- Nanotechnology, 2016, 27 (38), pp.385202. ⟨10.1088/0957-4484/27/38/385202⟩
- Accès au bibtex
-
- titre
- Flexible Photodiodes Based on Nitride Core/Shell p-n Junction Nanowires
- auteur
- H Zhang, Xing Dai, Nan Guan, Agnes Messanvi, Vladimir Neplokh, Valerio Piazza, Martin Vallo, Catherine Bougerol, François H Julien, Andrey Babichev, Nicolas Cavassilas, Marc Bescond, Fabienne Michelini, Martin Foldyna, Eric Gautier, Christophe Durand, Joël Eymery, Maria Tchernycheva
- article
- ACS Applied Materials & Interfaces, 2016, 8, pp.26198 - 26206. ⟨10.1021/acsami.6b06414⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase separation and magnetocaloric effect in Pr 0.5−x Gd x Sr 0.5 MnO 3 system
- auteur
- M. Bourouina, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Journal of Alloys and Compounds, 2016, 680, pp.67-72. ⟨10.1016/j.jallcom.2016.04.135⟩
- Accès au bibtex
-
- titre
- Enhanced high-pressure superconductivity and local structure of the Ba8 Si46 clathrate
- auteur
- F. Morales, M. Núñez-Regueiro, Pierre Toulemonde, D. Machon, S. Le Floch, V. Pischedda, P. Lagarde, A. Flank, J. Itié, A. San-Miguel
- article
- Physical Review B, 2016, 94 (10), pp.104507. ⟨10.1103/PhysRevB.94.104507⟩
- Accès au texte intégral et bibtex
-
- titre
- Charge-induced nematicity in FeSe
- auteur
- Pierre Massat, Donato Farina, Indranil Paul, Sandra Karlsson, Pierre Strobel, Pierre Toulemonde, Marie-Aude Méasson, Maximilien Cazayous, Alain Sacuto, Shigeru Kasahara, Takasada Shibauchi, Yuji Matsuda, Yann Gallais
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2016, 113 (33), pp.9177 - 9181. ⟨10.1073/pnas.1606562113⟩
- Accès au bibtex
-
- titre
- Radiatively limited dephasing and exciton dynamics in MoSe2 monolayers revealed with four-wave mixing microscopy
- auteur
- Tomasz Jakubczyk, Valentin Delmonte, Maciej Koperski, Karol Nogajewski, Clément Faugeras, Wolfgang Langbein, Marek Potemski, Jacek Kasprzak
- article
- Nano Letters, 2016, 16 (9), pp.5333-5339. ⟨10.1021/acs.nanolett.6b01060⟩
- Accès au texte intégral et bibtex
-
- titre
- Nucleation, imaging and motion of magnetic domain walls in cylindrical nanowires
- auteur
- Sandrine da Col, Ségolène Jamet, M Staňo, B. Trapp, S. Le Denmat, L Cagnon, J.-C. Toussaint, Olivier Fruchart
- article
- Applied Physics Letters, 2016, 109 (6), pp.062406. ⟨10.1063/1.4961058⟩
- Accès au texte intégral et bibtex
-
- titre
- Sol–Gel Immobilized N‐Heterocyclic Carbene Gold Complex as a Recyclable Catalyst for the Rearrangement of Allylic Esters and the Cycloisomerization of γ‐Alkynoic Acids
- auteur
- Meritxell Ferré, Xavier Cattoën, Michel Wong Chi Man, Roser Pleixats
- article
- ChemCatChem, 2016, 8 (17), pp.2824-2831. ⟨10.1002/cctc.201600632⟩
- Accès au bibtex
-
- titre
- Spin dynamics of an individual Cr atom in a semiconductor quantum dot under optical excitation
- auteur
- Alban Lafuente-Sampietro, H. Utsumi, Hervé Boukari, Shinji Kuroda, Lucien Besombes
- article
- Applied Physics Letters, 2016, 109 (5), pp.053103. ⟨10.1063/1.4959243⟩
- Accès au bibtex
-
- titre
- Structure resolution by electron diffraction tomography of the complex layered iron-rich Fe-2234-type Sr 5 Fe 6 O 15.4
- auteur
- Christophe Lepoittevin
- article
- Journal of Solid State Chemistry, 2016, 242 (Part 1), pp.228. ⟨10.1016/j.jssc.2016.08.004⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of n- and p-type doping concentrations and compensation on the electrical properties of semiconducting diamond
- auteur
- Aboulaye Traoré, Satoshi Koizumi, Julien Pernot
- article
- physica status solidi (a), 2016, 213 (8), pp.2036-2043. ⟨10.1002/pssa.201600407⟩
- Accès au bibtex
-
- titre
- Solid State Amorphization of β-Trehalose: A Structural Investigation Using Synchrotron Powder Diffraction and PDF Analysis
- auteur
- Pierre Bordet, Aleksei Bytchkov, Marc Descamps, Emeline Dudognon, Erik Elkaïm, Pauline Martinetto, William Pagnoux, Agnieszka Poulain, Jean-François Willart
- article
- Crystal Growth & Design, 2016, 16 (8), pp.4547-4558. ⟨10.1021/acs.cgd.6b00660⟩
- Accès au bibtex
-
- titre
- Acentric langanite La_3Ga_55Nb_05O_14 crystal: a new nonlinear crystal for the generation of mid-infrared parametric light
- auteur
- Dazhi Lu, Tianxiang Xu, Haohai Yu, Qiang Fu, Huaijin Zhang, Patricia Segonds, Benoit Boulanger, Xingyu Zhang, Jiyang Wang
- article
- Optics Express, 2016, 24 (16), pp.17603. ⟨10.1364/OE.24.017603⟩
- Accès au bibtex
-
- titre
- Direct and co-catalytic oxidative aromatization of 1,4-dihydropyridines and related substrates using gold nanoparticles supported on carbon nanotubes
- auteur
- Praveen Prakash, Edmond Gravel, Haiyan Li, Frédéric Miserque, Aurélie Habert, Martien den Hertog, Wai-Li Ling, Irishi N. N. Namboothiri, Eric Doris
- article
- Catalysis Science & Technology, 2016, 6 (17), pp.6476-6479. ⟨10.1039/c6cy00453a⟩
- Accès au bibtex
-
- titre
- Lifetime Measurements Well below the Optical Diffraction Limit
- auteur
- Sophie Meuret, Luiz Tizei, Thomas Auzelle, R. Songmuang, Bruno Daudin, Bruno Gayral, Mathieu Kociak
- article
- ACS photonics, 2016, 3 (7), pp.1157-1163. ⟨10.1021/acsphotonics.6b00212⟩
- Accès au bibtex
-
- titre
- Charge separation in organic solar cells: Effects of Coulomb interaction, recombination and hole propagation
- auteur
- Tahereh Nematiaram, Asghar Asgari, Didier Mayou
- article
- EPL - Europhysics Letters, 2016, 115 (1), pp.18003. ⟨10.1209/0295-5075/115/18003⟩
- Accès au texte intégral et bibtex
-
- titre
- Resonant photoluminescence of a positively charged Mn-doped quantum dot
- auteur
- A. Lafuente-Sampietro, H. Boukari, L. Besombes
- article
- physica status solidi (c), 2016, 13 (7-9), pp.546 - 550. ⟨10.1002/pssc.201510248⟩
- Accès au bibtex
-
- titre
- Preparation and characterisation of Co–Fe–Ni–M-Si–B (M = Zr, Ti) amorphous powders by wet mechanical alloying
- auteur
- B.V. Neamţu, H.F. Chicinaş, T.F. Marinca, O. Isnard, I. Chicinaş
- article
- Journal of Alloys and Compounds, 2016, 673, pp.80-85. ⟨10.1016/j.jallcom.2016.02.233⟩
- Accès au bibtex
-
- titre
- Nanocrystalline/nanosized Fe 3 O 4 obtained by a combined route ceramic-mechanical milling. Effect of milling on the chemical composition, formation of phases and powder characteristics
- auteur
- T.F. Marinca, H.F. Chicinaş, B.V. Neamţu, I. Chicinaş, O. Isnard, F. Popa, P. Păşcuţă
- article
- Advanced Powder Technology, 2016, 27 (4), pp.1588-1596. ⟨10.1016/j.apt.2016.05.022⟩
- Accès au bibtex
-
- titre
- Defects do Catalysis: CO Monolayer Oxidation and Oxygen Reduction Reaction on Hollow PtNi/C Nanoparticles
- auteur
- Laetitia Dubau, Jaysen Nelayah, Simona Moldovan, Ovidiu Ersen, Pierre Bordet, Jakub Drnec, Tristan Asset, Raphaël Chattot, Frédéric Maillard
- article
- ACS Catalysis, 2016, 6 (7), pp.4673-4684. ⟨10.1021/acscatal.6b01106⟩
- Accès au bibtex
-
- titre
- Novel high-pressure windows made of glass-like carbon for x-ray analysis
- auteur
- Denis Testemale, Alain Prat, Eric Lahera, Jean-Louis Hazemann
- article
- Review of Scientific Instruments, 2016, 87 (7), pp.075115. ⟨10.1063/1.4959110⟩
- Accès au bibtex
-
- titre
- Dynamical properties of bidirectional charge-density waves in ErTe 3
- auteur
- A. Sinchenko, P. Lejay, O. Leynaud, P. Monceau
- article
- Physical Review B, 2016, 93 (23), pp.235141. ⟨10.1103/PhysRevB.93.235141⟩
- Accès au texte intégral et bibtex
-
- titre
- Helical bunching and symmetry lowering inducing multiferroicity in Fe langasites
- auteur
- Laura Chaix, Rafik Ballou, Andres Cano, Sylvain Petit, Sophie de Brion, Jacques Ollivier, Louis-Pierre Regnault, Eric Ressouche, Evan Constable, Claire Colin, A. Zorko, Valerio Scagnoli, Joël Balay, Pascal Lejay, Virginie Simonet
- article
- Physical Review B, 2016, 93 (21), pp.214419. ⟨10.1103/PhysRevB.93.214419⟩
- Accès au texte intégral et bibtex
-
- titre
- Directional and Singular Surface Plasmon Generation in Chiral and Achiral Nanostructures Demonstrated by Leakage Radiation Microscopy
- auteur
- Quanbo Jiang, Aline Pham, Martin Berthel, Serge Huant, Joël Bellessa, Cyriaque Genet, Aurelien Drezet
- article
- ACS photonics, 2016, 3 (6), pp.1116 - 1124. ⟨10.1021/acsphotonics.6b00197⟩
- Accès au bibtex
-
- titre
- Bilayer splitting versus Fermi-surface warping as an origin of slow oscillations of in-plane magnetoresistance in rare-earth tritellurides
- auteur
- Pavel Grigoriev, Alexander Sinchenko, Pascal Lejay, Abdellali Hadj-Azzem, Joël Balay, Olivier Leynaud, Vladimir Zverev, Pierre Monceau
- article
- The European Physical Journal B: Condensed Matter and Complex Systems, 2016, 89 (6), pp.151. ⟨10.1140/epjb/e2016-70159-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Inverse and normal magnetocaloric effects in LaFe 12 B 6
- auteur
- L. Diop, O. Isnard
- article
- Journal of Applied Physics, 2016, 119 (21), pp.213904. ⟨10.1063/1.4953235⟩
- Accès au bibtex
-
- titre
- Bis-clickable Mesoporous Silica Nanoparticles: Straightforward Preparation of Light-Actuated Nanomachines for Controlled Drug Delivery with Active Targeting
- auteur
- Achraf Noureddine, Magali Gary-Bobo, Laure Lichon, Marcel Garcia, Jeffrey I. Zink, Michel Wong Chi Man, Xavier Cattoën
- article
- Chemistry - A European Journal, 2016, 22 (28), pp.9624-9630. ⟨10.1002/chem.201600870⟩
- Accès au bibtex
-
- titre
- Effect of trivalent rare earth doping on magnetic and magnetocaloric properties of Pr0.5(Ce,Eu,Y)0.1Sr0.4MnO3 manganites
- auteur
- A. Sakka, R. M’nassri, Nassira Chniba Boudjada, M. Ommezzine, A. Cheikhrouhou
- article
- Applied physics. A, Materials science & processing, 2016, 122 (6), pp.603. ⟨10.1007/s00339-016-0125-5⟩
- Accès au bibtex
-
- titre
- Solid-solution stability and preferential site-occupancy in (R-R′)2Fe14B compounds
- auteur
- C. V. Colin, M. Ito, M. Yano, Nora Dempsey, E. Suard, D. Givord
- article
- Applied Physics Letters, 2016, 108 (24), pp.242415. ⟨10.1063/1.4953874⟩
- Accès au bibtex
-
- titre
- Gapless quantum spin liquid ground state in the spin-1 antiferromagnet 6HB- Ba 3 NiSb 2 O 9
- auteur
- A. Quilliam, F. Bert, A. Manseau, C. Darie, C. Guillot-Deudon, C. Payen, C. Baines, A. Amato, P. Mendels
- article
- Physical Review B, 2016, 93 (21), pp.214432. ⟨10.1103/PhysRevB.93.214432⟩
- Accès au texte intégral et bibtex
-
- titre
- Witnesses of causal nonseparability: an introduction and a few case studies
- auteur
- Cyril Branciard
- article
- Scientific Reports, 2016, 6, pp.26018. ⟨10.1038/srep26018⟩
- Accès au bibtex
-
- titre
- A new high pressure form of Ba3NiSb2O9
- auteur
- Céline Darie, Christophe Lepoittevin, Holger Klein, Stéphanie Kodjikian, Pierre Bordet, Claire V. Colin, Oleg I. Lebedev, Catherine Deudon, Christophe Payen
- article
- Journal of Solid State Chemistry, 2016, 237, pp.166-173. ⟨10.1016/j.jssc.2016.02.011⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanometer-scale monitoring of the quantum confined stark effect and emission efficiency droop in multiple GaN/AlN quantum disks in nanowires
- auteur
- L. F. Zagonel, L. H. G. Tizei, G. Z. Vitiello, G. Jacopin, Lorenzo Rigutti, M. Tchernycheva, F. H. Julien, R. Songmuang, T. Ostasevicius, F. de La Peña, C. Ducati, P. A Midgley, M. Kociak
- article
- Physical Review B, 2016, 93 (20), pp.205410. ⟨10.1103/PhysRevB.93.205410⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetite epitaxial growth on Ag(001): Selected orientation, seed layer, and interface sharpness
- auteur
- Anne Lamirand, S. Grenier, Véronique Langlais, A.Y. Ramos, H. C. N. Tolentino, X. Torrelles, M. de Santis
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2016, 647, pp.33-38. ⟨10.1016/j.susc.2015.12.005⟩
- Accès au bibtex
-
- titre
- The role of Te(IV) and Bi(III) chloride complexes in hydrothermal mass transfer: An X-ray absorption spectroscopic study
- auteur
- Barbara E. Etschmann, Weihua Liu, Allan Pring, Pascal V. Grundler, Blake Tooth, Stacey Borg, Denis Testemale, Dale Brewe, Joël Brugger
- article
- Chemical Geology, 2016, 425, pp.37 - 51. ⟨10.1016/j.chemgeo.2016.01.015⟩
- Accès au bibtex
-
- titre
- Nearly constant magnetic entropy change involving the enhancement of refrigerant capacity in (La 0.6 Ba 0.2 Sr 0.2 MnO 3 ) 1−x /(Co 2 O 3 ) x composite
- auteur
- Rafik M'Nassri, Nassira Chniba Boudjada, A. Cheikhrouhou
- article
- Ceramics International, 2016, 42 (6), pp.7447 - 7454. ⟨10.1016/j.ceramint.2016.01.149⟩
- Accès au bibtex
-
- titre
- Comparison of Three E-Beam Techniques for Electric Field Imaging and Carrier Diffusion Length Measurement on the Same Nanowires
- auteur
- F. Donatini, Andres de Luna Bugallo, Pierre Tchoulfian, Gauthier Chicot, Corinne Sartel, Vincent Sallet, Julien Pernot
- article
- Nano Letters, 2016, 16 (5), pp.2938-2944. ⟨10.1021/acs.nanolett.5b04710⟩
- Accès au bibtex
-
- titre
- Detection of a magnetic bead by hybrid nanodevices using scanning gate microscopy
- auteur
- H. Corte-León, P. Krzysteczko, F. Marchi, J.-F. Motte, A. Manzin, H. Schumacher, V. Antonov, O. Kazakova
- article
- AIP Advances, 2016, 6 (5), pp.056502. ⟨10.1063/1.4943147⟩
- Accès au bibtex
-
- titre
- Easy moment direction and antiferromagnetic domain wall motion in Mn2Au
- auteur
- Vitoria M.T.S. Barthem, Claire V. Colin, Richard Haettel, Didier Dufeu, Dominique Givord
- article
- Journal of Magnetism and Magnetic Materials, 2016, 406 (24), pp.289-292. ⟨10.1016/j.jmmm.2015.07.101⟩
- Accès au bibtex
-
- titre
- Diffusion-driven growth of nanowires by low-temperature molecular beam epitaxy
- auteur
- P. Rueda-Fonseca, M. Orrù, E. Bellet-Amalric, Eric Robin, M. den Hertog, Y. Genuist, R. André, S. Tatarenko, Joel Cibert
- article
- Journal of Applied Physics, 2016, 119 (16), pp.164303. ⟨10.1063/1.4947269⟩
- Accès au texte intégral et bibtex
-
- titre
- UV Photosensing Characteristics of Nanowire-Based GaN/AlN Superlattices
- auteur
- Jonas Lähnemann, Martien den Hertog, Pascal Hille, María de La Mata, Thierry Fournier, Jörg Schörmann, Jordi Arbiol, Martin Eickhoff, Eva Monroy
- article
- Nano Letters, 2016, 16 (5), pp.3260-3267. ⟨10.1021/acs.nanolett.6b00806⟩
- Accès au bibtex
-
- titre
- Harvesting, coupling and control of single exciton coherences in photonic waveguide antenna
- auteur
- Q. Mermillod, T. Jakubczyk, V. Delmonte, A. Delga, E. Peinke, J.-M. Gérard, J. Claudon, J. Kasprzak
- article
- Physical Review Letters, 2016, 116 (16), pp.163903. ⟨10.1103/PhysRevLett.116.163903⟩
- Accès au bibtex
-
- titre
- Using a plasmonic lens to control the emission of electrically excited light
- auteur
- Shuiyan Cao, Médéric Lequeux, Eric Le Moal, Aurélien Drezet, Serge Huant, Gérald Dujardin, Elizabeth Boer-Duchemin
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2016, Nanophotonics VI, 9884, pp.98841Y. ⟨10.1117/12.2224472⟩
- Accès au texte intégral et bibtex
-
- titre
- Dependence of the photovoltaic performance of pseudomorphic InGaN/GaN multiple-quantum-well solar cells on the active region thickness
- auteur
- Anna Mukhtarova, Sirona Valdueza-Felip, Luca Redaelli, Christophe Durand, Catherine Bougerol, Eva Monroy, Joël Eymery
- article
- Applied Physics Letters, 2016, 108 (16), pp.161907. ⟨10.1063/1.4947445⟩
- Accès au bibtex
-
- titre
- Elastic anomalies at the magnetic phase transitions of TBTe3
- auteur
- Michel Saint-Paul, Christophe Guttin, Pascal Lejay, Olivier Leynaud, Pierre Monceau
- article
- Solid State Communications, 2016, 240, pp.15-19. ⟨10.1016/j.ssc.2016.04.017⟩
- Accès au bibtex
-
- titre
- Individual Cr atom in a semiconductor quantum dot: Optical addressability and spin-strain coupling
- auteur
- Alban Lafuente-Sampietro, H. Utsumi, Hervé Boukari, Shinji Kuroda, Lucien Besombes
- article
- Physical Review B, 2016, 93 (16), pp.161301(R). ⟨10.1103/PhysRevB.93.161301⟩
- Accès au bibtex
-
- titre
- Effect of doping on the far-infrared intersubband transitions in nonpolar m -plane GaN/AlGaN heterostructures
- auteur
- C Lim, A. Ajay, Catherine Bougerol, J Lähnemann, F. Donatini, J Schörmann, E. Bellet-Amalric, D A Browne, M Jiménez-Rodríguez, E. Monroy
- article
- Nanotechnology, 2016, 27 (14), pp.145201. ⟨10.1088/0957-4484/27/14/145201⟩
- Accès au bibtex
-
- titre
- Thermal modification of wood and a complex study of its properties by magnetic resonance and other methods
- auteur
- Ekaterina Kondratyeva, Kajum Safiullin, Ildar Motygullin, Alexander Klochkov, Murat Tagirov, Valérie Reita
- article
- Wood Science and Technology, 2016, 50 (5), pp.895-916. ⟨10.1007/s00226-016-0825-1⟩
- Accès au bibtex
-
- titre
- Simple model of a coherent molecular photocell
- auteur
- Matthias Ernzerhof, Marc-André Bélanger, Didier Mayou, Tahereh Nematiaram
- article
- Journal of Chemical Physics, 2016, 144 (13), pp.134102 - 164326. ⟨10.1063/1.4944468⟩
- Accès au texte intégral et bibtex
-
- titre
- Short-wavelength, mid- and far-infrared intersubband absorption in nonpolar GaN/Al(Ga)N heterostructures
- auteur
- Caroline B. Lim, Mark Beeler, Akhil Ajay, Jonas Lähnemann, Edith Bellet-Amalric, Catherine Bougerol, Jörg Schörmann, Martin Eickhoff, Eva Monroy
- article
- Japanese Journal of Applied Physics, 2016, 55 (5S), pp.05FG05. ⟨10.7567/JJAP.55.05FG05⟩
- Accès au bibtex
-
- titre
- GaN Rods Grown on Si by SAG-HVPE toward GaN HVPE/InGaN MOVPE Core/Shell Structures
- auteur
- Geoffrey Avit, Yamina Andre, Catherine Bougerol, Dominique Castelluci, Amélie Dussaigne, Pierre Ferret, Stéphanie Gaugiran, Bruno Gayral, Evelyne Gil, Yann Lee, M. Reda Ramdani, Elissa Roche, Agnès Trassoudaine
- article
- Crystal Growth & Design, 2016, 16 (5), pp.2509-2513. ⟨10.1021/acs.cgd.5b01244⟩
- Accès au bibtex
-
- titre
- Study of physical properties of cobalt substituted Pr0.7Ca0.3MnO3 ceramics
- auteur
- Rafik M'Nassri, R. M’nassri, M. Khelifi, H. Rahmouni, A. Selmi, K. Khirouni, Nassira Boudjada, A. Cheikhrouhou
- article
- Ceramics International, 2016, 42 (5), pp.6145 - 6153. ⟨10.1016/j.ceramint.2016.01.001⟩
- Accès au bibtex
-
- titre
- Speciation and thermodynamic properties of zinc in sulfur-rich hydrothermal fluids: Insights from ab initio molecular dynamics simulations and X-ray absorption spectroscopy
- auteur
- Yuan Mei, Barbara Etschmann, Weihua Liu, David M. Sherman, Denis Testemale, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2016, 179, pp.32 - 52. ⟨10.1016/j.gca.2016.01.031⟩
- Accès au bibtex
-
- titre
- Revisiting pressure-induced phase transition in silicon clathrates using Ge substitution
- auteur
- J.-C. Blancon, D. Machon, V. Pischedda, R. Debord, Pierre Toulemonde, S. Le Floch, S. Pascarelli, P. Mélinon, A. San-Miguel
- article
- Physical Review B, 2016, 93 (13), pp.134103. ⟨10.1103/PhysRevB.93.134103⟩
- Accès au bibtex
-
- titre
- Identifying and quantifying amorphous and crystalline content in complex powdered samples: application to archaeological carbon blacks
- auteur
- Sophie Cersoy, Pauline Martinetto, Pierre Bordet, Jean Louis Hodeau, Elsa van Elslande, Philippe Walter
- article
- Journal of Applied Crystallography, 2016, 49 (2), pp.585-593. ⟨10.1107/S1600576716003551⟩
- Accès au bibtex
-
- titre
- Enhanced Collective Magnetic Properties Induced by the Controlled Assembly of Iron Oxide Nanoparticles in Chains
- auteur
- Delphine Toulemon, Mircea Rastei, David Schmool, José Sáiz Garitaonandia, Luis Lezama, Xavier Cattoën, Sylvie Bégin-Colin, Benoît Pichon
- article
- Advanced Functional Materials, 2016, 26 (15), pp.2454-2462. ⟨10.1002/adfm.201505086⟩
- Accès au bibtex
-
- titre
- Cu0.8Mg1.2Si2O6 : a copper-bearing silicate with the low-clinopyroxene structure
- auteur
- Lei Ding, Céline Darie, Claire V. Colin, Pierre Bordet
- article
- Mineralogical Magazine, 2016, 80 (2), pp.325-335. ⟨10.1180/minmag.2016.080.002⟩
- Accès au bibtex
-
- titre
- Puzzling evidence for surface superconductivity in the layered dichalcogenide Cu10%TiSe2
- auteur
- F. Levy-Bertrand, B. Michon, J. Marcus, C. Marcenat, J. Kačmarčík, T. Klein, H. Cercellier
- article
- Physica C: Superconductivity and its Applications, 2016, 523, pp.19-22. ⟨10.1016/j.physc.2016.02.004⟩
- Accès au texte intégral et bibtex
-
- titre
- Giant spontaneous magnetization jumps in LaFe 12 B 6
- auteur
- L. Diop, O. Isnard
- article
- Applied Physics Letters, 2016, 108 (13), pp.132401. ⟨10.1063/1.4944904⟩
- Accès au bibtex
-
- titre
- Electron phase shift at the zero-bias anomaly of quantum point contacts
- auteur
- Boris Brun, Frederico Martins, Sebastien Faniel, Benoit Hackens, Antonella Cavanna, Christian Ulysse, Abdelkarim Ouerghi, Ulf Gennser, Dominique Mailly, Pascal Simon, Serge Huant, Vincent Bayot, M. Sanquer, Hermann Sellier
- article
- Physical Review Letters, 2016, 116 (13), pp.136801. ⟨10.1103/PhysRevLett.116.136801⟩
- Accès au texte intégral et bibtex
-
- titre
- Deterministic radiative coupling between plasmonic nanoantennas and semiconducting nanowire quantum dots
- auteur
- Mathieu Jeannin, Pamela Rueda-Fonseca, Edith Bellet-Amalric, Kuntheak Kheng, Gilles Nogues
- article
- Nanotechnology, 2016, 27 (18), pp.185201. ⟨10.1088/0957-4484/27/18/185201⟩
- Accès au texte intégral et bibtex
-
- titre
- Bragg polaritons in a ZnSe-based unfolded microcavity at elevated temperatures
- auteur
- K. Sebald, K. Rahman, M. Cornelius, T. Kaya, J. Gutowski, T. Klein, A. Gust, D. Hommel, S. Klembt
- article
- Applied Physics Letters, 2016, 108 (12), pp.121105. ⟨10.1063/1.4944717⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexible White Light Emitting Diodes Based on Nitride Nanowires and Nanophosphors
- auteur
- Nan Guan, Xing Dai, Agnes Messanvi, Hezhi Zhang, Jianchang Yan, E Gautier, Catherine Bougerol, Christophe Durand, Joël Eymery, Maria Tchernycheva
- article
- ACS photonics, 2016, 3 (4), pp.597-603. ⟨10.1021/acsphotonics.5b00696⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaporation-Induced Self-Structuring of Organised Silica Nanohybrid Films Through Cooperative Physical and Chemical Interactions
- auteur
- Ana M. Cojocariu, Xavier Cattoën, Rozenn Le Parc, David Maurin, Christophe Blanc, Philippe Dieudonne-George, Jean-Louis Bantignies, Michel Wong Chi Man, John R. Bartlett
- article
- Physical Chemistry Chemical Physics, 2016, 18, pp.7946. ⟨10.1039/C5CP02742J⟩
- Accès au bibtex
-
- titre
- Quantitative reconstructions of 3D chemical nanostructures in nanowires
- auteur
- Pamela Rueda-Fonseca, Eric Robin, Edith Bellet-Amalric, Miguel Lopez-Haro, Martien den Hertog, Yann Genuist, Régis André, Alberto Artioli, Serge Tatarenko, David Ferrand, Joel Cibert
- article
- Nano Letters, 2016, 16 (3), pp.1637-1642. ⟨10.1021/acs.nanolett.5b04489⟩
- Accès au bibtex
-
- titre
- Synthesis of amorphous Fe75Si20−xMxB5 (M=Ti, Ta, Zr) via wet mechanical alloying and its structural, thermal and magnetic characterisation
- auteur
- B.V. Neamţu, H.F. Chicinaş, T.F. Marinca, O. Isnard, I. Chicinaş, F. Popa
- article
- Advanced Powder Technology, 2016, 27 (2), pp.461-470. ⟨10.1016/j.apt.2016.01.027⟩
- Accès au bibtex
-
- titre
- Nanocrystalline/nanosized manganese substituted nickel ferrites – Ni 1−x Mn x Fe 2 O 4 obtained by ceramic-mechanical milling route
- auteur
- Traian Florin Marinca, Ionel Chicinaş, Olivier Isnard, Bogdan Viorel Neamţu
- article
- Ceramics International, 2016, 42 (4), pp.4754-4763. ⟨10.1016/j.ceramint.2015.11.155⟩
- Accès au bibtex
-
- titre
- Structural, electronic and magnetic properties of the Mn 50 Al 46 Ni 4 alloy
- auteur
- Sever Mican, Diana Benea, Razvan Hirian, Radu Gavrea, Olivier Isnard, Viorel Pop, Marin Coldea
- article
- Journal of Magnetism and Magnetic Materials, 2016, 401, pp.841-847. ⟨10.1016/j.jmmm.2015.11.011⟩
- Accès au bibtex
-
- titre
- Is the Cu/Zn Disorder the Main Culprit for the Voltage Deficit in Kesterite Solar Cells?
- auteur
- Stéphane Bourdais, Christophe Choné, Bruno Delatouche, Alain Jacob, Gerardo Larramona, Camille Moisan, Alain Lafond, Fabrice Donatini, Germain Rey, Susanne Siebentritt, Aron Walsh, Gilles Dennler
- article
- Advanced Energy Materials, 2016, 6 (12), pp.1502276. ⟨10.1002/aenm.201502276⟩
- Accès au bibtex
-
- titre
- Neutron diffraction study of the itinerant-electron metamagnetic Hf0.825Ta0.175Fe2 compound
- auteur
- L.V.B. Diop, O. Isnard, E. Suard, D. Benea
- article
- Solid State Communications, 2016, 229, pp.16-21. ⟨10.1016/j.ssc.2015.12.013⟩
- Accès au bibtex
-
- titre
- Effect of small quantity of chromium on the electrical, magnetic and magnetocaloric properties of Pr0.7Ca0.3Mn0.98Cr0.02O3 manganite
- auteur
- A. Bettaibi, R. M’nassri, A. Selmi, H. Rahmouni, K. Khirouni, Nassira Boudjada, A. Cheikhrouhou
- article
- Applied physics. A, Materials science & processing, 2016, 122 (3), pp.232. ⟨10.1007/s00339-016-9780-9⟩
- Accès au bibtex
-
- titre
- Multi-scale architectured thermoelectric materials in the Mg2(Si,Sn) system
- auteur
- Stéphane Gorsse, Solange Vivès, Philippe Bellanger, D. Riou, Laetitia Laversenne, Salvatore Miraglia, David R. Clarke
- article
- Materials Letters, 2016, 166, pp.140-144. ⟨10.1016/j.matlet.2015.12.056⟩
- Accès au bibtex
-
- titre
- Synthesis of nanocrystalline Ni3Fe powder by mechanical alloying using an extreme friction mode
- auteur
- Călin-Virgiliu Prică, Traian Florin Marinca, Florin Popa, Niculina Argentina Sechel, Olivier Isnard, Ionel Chicinaş
- article
- Advanced Powder Technology, 2016, 27 (2), pp.395-402. ⟨10.1016/j.apt.2016.01.018⟩
- Accès au bibtex
-
- titre
- Mechanosynthesis, structural, thermal and magnetic characteristics of oleic acid coated Fe3O4 nanoparticles
- auteur
- T.F. Marinca, H.F. Chicinaş, B.V. Neamţu, O. Isnard, P. Pascuta, N. Lupu, G. Stoian, I. Chicinaş
- article
- Materials Chemistry and Physics, 2016, 171, pp.336-345. ⟨10.1016/j.matchemphys.2016.01.025⟩
- Accès au bibtex
-
- titre
- Hydrogen storage systems based on magnesium hydride: from laboratory tests to fuel cell integration
- auteur
- Patricia de Rango, Philippe Marty, Daniel Fruchart
- article
- Applied physics. A, Materials science & processing, 2016, 122 (2), pp.126. ⟨10.1007/s00339-016-9646-1⟩
- Accès au bibtex
-
- titre
- Preparation and characterizations of aluminoborates powders for the development of a new generation of white phosphors for solid-state lighting
- auteur
- Vinicius Guimaraes, Pauline Burner, Lauro June Queiroz Maia, Alban Ferrier, Bruno Viana, Isabelle Gautier-Luneau, Alain Ibanez
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2016, Oxide-based Materials and Devices VII, 9749, pp.97490B. ⟨10.1117/12.2220397⟩
- Accès au bibtex
-
- titre
- Tight State-Independent Uncertainty Relations for Qubits
- auteur
- Alastair A. Abbott, Pierre-Louis Alzieu, Michael J. W. Hall, Cyril Branciard
- article
- Mathematics , 2016, 4 (1), pp.8. ⟨10.3390/math4010008⟩
- Accès au texte intégral et bibtex
-
- titre
- Elastic anomalies at the charge density wave transition in TbTe3
- auteur
- Michel Saint-Paul, Christophe Guttin, Pascal Lejay, Gyorgy Remenyi, Olivier Leynaud, Pierre Monceau
- article
- Solid State Communications, 2016, 233, pp.24-29. ⟨10.1016/j.ssc.2016.02.008⟩
- Accès au bibtex
-
- titre
- Multi-Wave Coherent Control of a Solid State Single Emitter
- auteur
- F. Fras, Q. Mermillod, G. Nogues, C. Hoarau, C. Schneider, M. Kamp, S. Höfling, W. Langbein, J. Kasprzak
- article
- Nature Photonics, 2016, 10 (3), pp.155-158. ⟨10.1038/nphoton.2016.2⟩
- Accès au bibtex
-
- titre
- Enhanced Collective Magnetic Properties in 2D Monolayers of Iron Oxide Nanoparticles Favored by Local Order and Local 1D Shape Anisotropy
- auteur
- Delphine Toulemon, Yu Liu, Xavier Cattoën, Cédric Leuvrey, Sylvie Bégin-Colin, Benoît Pichon
- article
- Langmuir, 2016, 32 (6), pp.1621-1628. ⟨10.1021/acs.langmuir.5b04145⟩
- Accès au bibtex
-
- titre
- Contexts, Systems and Modalities: A New Ontology for Quantum Mechanics
- auteur
- Alexia Auffèves, Philippe Grangier
- article
- Foundations of Physics, 2016, 46 (2), pp.121 - 137. ⟨10.1007/s10701-015-9952-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Relaxation of the resistive superconducting state in boron-doped diamond films
- auteur
- A. Kardakova, A. Shishkin, A. Semenov, G. N. Goltsman, S. Ryabchun, T. M. Klapwijk, J. Bousquet, D. Eon, Benjamin Sacépé, T. Klein, E. Bustarret
- article
- Physical Review B, 2016, 93 (6), pp.064506. ⟨10.1103/PhysRevB.93.064506⟩
- Accès au texte intégral et bibtex
-
- titre
- Low dimensional magnetism in MnNb2−xVxO6
- auteur
- M.L. Hneda, J.B.M. da Cunha, M.A.C. Gusmão, O. Isnard
- article
- Materials Research Bulletin, 2016, 74, pp.169 - 176. ⟨10.1016/j.materresbull.2015.10.030⟩
- Accès au bibtex
-
- titre
- In-situ neutron investigation of hydrogen absorption kinetics in La(FexSi1−x)13 magnetocaloric alloys for room-temperature refrigeration application
- auteur
- Xueying Hai, Charlotte Mayer, Claire V. Colin, Salvatore Miraglia
- article
- Journal of Magnetism and Magnetic Materials, 2016, 400, pp.344-348. ⟨10.1016/j.jmmm.2015.07.018⟩
- Accès au bibtex
-
- titre
- Magnetic scanning gate microscopy of a domain wall nanosensor using microparticle probe
- auteur
- H. Corte-León, B. Gribkov, P. Krzysteczko, F. Marchi, J.-F. Motte, H. Schumacher, V. Antonov, O. Kazakova
- article
- Journal of Magnetism and Magnetic Materials, 2016, 400, pp.225-229. ⟨10.1016/j.jmmm.2015.07.116⟩
- Accès au bibtex
-
- titre
- Ultrafast dynamical response of the lower exciton-polariton branch in CdZnTe
- auteur
- J. Lohrenz, S. Melzer, C. Ruppert, I. Akimov, H. Mariette, M. Reichelt, A. Trautmann, T. Meier, M. Betz
- article
- Physical Review B, 2016, 93 (7), pp.075201. ⟨10.1103/PhysRevB.93.075201⟩
- Accès au texte intégral et bibtex
-
- titre
- Lasing in Bose-Fermi mixtures
- auteur
- Vladimir P. Kochereshko, Mikhail V. Durnev, Lucien Besombes, Henri Mariette, Victor F. Sapega, Alexis Askitopoulos, Ivan G. Savenko, Timothy C. H. Liew, Ivan A. Shelykh, Alexey V. Platonov, Simeon I. Tsintzos, Z. Hatzopoulos, Pavlos G. Savvidis, Vladimir K. Kalevich, Mikhail M. Afanasiev, Vladimir A. Lukoshkin, Christian Schneider, Matthias Amthor, Christian Metzger, Martin Kamp, Sven Hoefling, Pavlos Lagoudakis, Alexey Kavokin
- article
- Scientific Reports, 2016, 6, pp.20091. ⟨10.1038/srep20091⟩
- Accès au bibtex
-
- titre
- The Transient Localization Scenario for Charge Transport in Crystalline Organic Materials
- auteur
- Simone Fratini, D. Mayou, S Ciuchi
- article
- Advanced Functional Materials, 2016, 26 (14), pp.2292-2315. ⟨10.1002/adfm.201502386⟩
- Accès au texte intégral et bibtex
-
- titre
- Observation of a Biexciton Wigner Molecule by Fractional Optical Aharonov-Bohm Oscillations in a Single Quantum Ring
- auteur
- Hee Dae Kim, Rin Okuyama, Kwangseuk Kyhm, Mikio Eto, Robert A. Taylor, Aurelien L. Nicolet, Marek Potemski, Gilles Nogues, Le Si Dang, Ku-Chul Je, Jongsu Kim, Ji-Hoon Kyhm, Kyu Hyoek Yoen, Eun Hye Lee, Jun Young Kim, Il Ki Han, Wonjun Choi, Jindong Song
- article
- Nano Letters, 2016, 16 (1), pp.27 - 33. ⟨10.1021/acs.nanolett.5b02419⟩
- Accès au bibtex
-
- titre
- Interfacial chemistry in a ZnTe/CdSe superlattice studied by atom probe tomography and transmission electron microscopy strain measurements
- auteur
- B. Bonef, B. Haas, J-L. Rouvière, R. André, C. Bougerol, A. Grenier, Pierre-Henri Jouneau, J-M. Zuo
- article
- Journal of Microscopy, 2016, 262 (2), pp.178 - 182. ⟨10.1111/jmi.12340⟩
- Accès au bibtex
-
- titre
- Nonlinear Bell Inequalities Tailored for Quantum Networks
- auteur
- Denis Rosset, Cyril Branciard, Tomer Jack Barnea, Gilles Pütz, Nicolas Brunner, Nicolas Gisin
- article
- Physical Review Letters, 2016, 116 (1), pp.010403. ⟨10.1103/PhysRevLett.116.010403⟩
- Accès au bibtex
-
- titre
- Recyclable organocatalysts based on hybrid silicas
- auteur
- Meritxell Ferré, Roser Pleixats, Michel Wong Chi Man, Xavier Cattoën
- article
- Green Chemistry, 2016, 18 (4), pp.881-922. ⟨10.1039/c5gc02579f⟩
- Accès au bibtex
-
- titre
- Magnetic order of Y 3 NiSi 3 -type R 3 NiSi 3 ( R =Gd–DY) compounds
- auteur
- A.V. Morozkin, O. Yapaskurt, R. Nirmala, S. Malik, S. Quezado, Jinlei Yao, Y. Mozharivskyj, A.K. Nigam, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2016, 398, pp.141 - 147. ⟨10.1016/j.jmmm.2015.09.035⟩
- Accès au bibtex
-
- titre
- NIR-to-NIR Two-Photon Scanning Laser Microscopy Imaging of Single Nanoparticles Doped by Yb(III) Complexes
- auteur
- Adrien Bourdolle, Anthony d'Aléo, Cécile Philippot, Patrice L. Baldeck, Yannick Guyot, Fabien Dubois, Alain Ibanez, Chantal Andraud, Sophie Brasselet, Olivier Maury
- article
- ChemPhysChem, 2016, 17 (1), pp.128-135. ⟨10.1002/cphc.201500814⟩
- Accès au bibtex
-
- titre
- Ultrasharp magnetization steps in the antiferromagnetic itinerant-electron system LaF e 12 B 6
- auteur
- L. Diop, O. Isnard, J. Rodríguez-Carvajal
- article
- Physical Review B, 2016, 93 (1), pp.014440. ⟨10.1103/PhysRevB.93.014440⟩
- Accès au bibtex
-
- titre
- Raman and Ftir Spectral Investigations of Twinned M(IO3)2 (M = Mn, Ni, Co, AND Zn) Crystals
- auteur
- T. Kochuthresia, I. Gautier-Luneau, V. K. Vaidyan, M. J. Bushiri
- article
- Journal of Applied Spectroscopy, 2016, 82 (6), pp.941 - 946. ⟨10.1007/s10812-016-0209-1⟩
- Accès au bibtex
-
- titre
- Ince-gauss based multiple intermodal phase-matched third-harmonic generations in a step-index silica optical fiber
- auteur
- Adrien Borne, Tomotaka Katsura, Corinne Felix, Benjamin Doppagne, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson, Benoit Boulanger
- article
- Optics Communications, 2016, 358, pp.160-163. ⟨10.1016/j.optcom.2015.09.046⟩
- Accès au bibtex
-
- titre
- Modern and past volcanic degassing of iodine
- auteur
- H. Bureau, A.-L. Auzende, M. Marocchi, C. Raepsaet, P. Munsch, D. Testemale, M. Mézouar, S. Kubsky, M. Carrière, A. Ricolleau, G. Fiquet
- article
- Geochimica et Cosmochimica Acta, 2016, 173, pp.114-125. ⟨10.1016/j.gca.2015.10.017⟩
- Accès au bibtex
-
- titre
- Highly efficient singular surface plasmon generation by achiral apertures
- auteur
- Quanbo Jiang, Aline Pham, Serge Huant, Joël Bellessa, Cyriaque Genet, Aurelien Drezet
- article
- Optics Letters, 2016, 41 (19), pp.4534. ⟨10.1364/OL.41.004534⟩
- Accès au bibtex
-
- titre
- SrMGe2O6 (M = Mn, Co): a family of pyroxene compounds displaying multiferroicity
- auteur
- Lei Ding, Claire V. Colin, Céline Darie, Pierre Bordet
- article
- Journal of Materials Chemistry C, 2016, 4 (19), pp.4236-4245. ⟨10.1039/C6TC00149A⟩
- Accès au bibtex
-
- titre
- Low-temperature and high-pressure µSR study of the strongly correlated CeNiSnHx compounds
- auteur
- Olivier Isnard, C. Rusu, Roxana Dudric, Daniel Andreica, Alex Amato, Bernard Chevalier
- article
- Physical Review B, 2016, 93 (22), pp.224424. ⟨10.1103/PhysRevB.93.224424⟩
- Accès au texte intégral et bibtex
-
- titre
- Refined orientation of the optical axes as a function of wavelength in three monoclinic double tungstate crystals KRE(WO_4)_2 (RE = Gd, Y or Lu)
- auteur
- P. Loiko, P. Segonds, P.L. Inácio, Alexandra Pena Revellez, J. Debray, D. Rytz, V. Filippov, K. Yumashev, M.C Pujol, X. Mateos, M. Aguiló, F. Diaz, M. Eichhorn, B. Boulanger
- article
- Optical Materials Express, 2016, 6 (9), pp.2984-2990. ⟨10.1364/OME.6.002984⟩
- Accès au bibtex
-
- titre
- One-dimensional short-range magnetic correlations in the magnetoelectric pyroxene CaMnGe2O6
- auteur
- L. Ding, C. V. Colin, C. Darie, J. Robert, F. Gay, P. Bordet
- article
- Physical Review B, 2016, 93 (6), pp.064423. ⟨10.1103/PhysRevB.93.064423⟩
- Accès au texte intégral et bibtex
-
- titre
- Intramolecular Hg⋯π interactions of d-character with non-bridging atoms in mercury–aryl complexes
- auteur
- A. Lannes, A. Manceau, M. Rovezzi, P. Glatzel, Yves Joly, I. Gautier-Luneau
- article
- Dalton Transactions, 2016, 45 (36), pp.14035 - 14038. ⟨10.1039/c6dt02200f⟩
- Accès au bibtex
-
- titre
- Multifunctional Gold-Mesoporous Silica Nanocomposites for Enhanced Two-Photon Imaging and Therapy of Cancer Cells
- auteur
- Jonas G. Croissant, Christian Qi, Marie Maynadier, Xavier Cattoën, Michel Wong Chi Man, Laurence Raehm, Olivier Mongin, Mireille Blanchard-Desce, Marcel Garcia, Magali Gary-Bobo, Jean-Olivier Durand
- article
- Frontiers in Molecular Biosciences, 2016, 3, pp.1-9. ⟨10.3389/fmolb.2016.00001⟩
- Accès au texte intégral et bibtex
-
- titre
- Determination of the Optimal Shell Thickness for Self-Catalyzed GaAs/AlGaAs Core-Shell Nanowires on Silicon
- auteur
- Rudeesun Songmuang, Giang Le Thuy Thanh, Joel Bleuse, Martien I. den Hertog, Yann-Michel Niquet, Le Si Dang, Henri Mariette
- article
- Nano Letters, 2016, 16 (6), pp.3426-3433. ⟨10.1021/acs.nanolett.5b03917⟩
- Accès au bibtex
-
- titre
- Intrinsic ionic screening of the ferroelectric polarization of KTP
- auteur
- Mario Maglione, Anand Theerthan, Vincent Rodriguez, Alexandra Pena, Carlota Canalias, Bertrand Ménaert, Benoit Boulanger
- article
- Optical Materials Express, 2016, 6 (1), pp.137-145. ⟨10.1364/OME.6.000137⟩
- Accès au texte intégral et bibtex
-
- titre
- A Universal and Ultrasensitive Vectorial Nanomechanical Sensor For Imaging 2D Force Fields
- auteur
- Laure Mercier de Lépinay, Benjamin Pigeau, Benjamin Besga, Pascal Vincent, Philippe Poncharal, Olivier Arcizet
- article
- Nature Nanotechnology, 2016, 12 (2), pp.156-162. ⟨10.1038/nnano.2016.193⟩
- Accès au texte intégral et bibtex
-
- titre
- InGaN nanowires with high InN molar fraction: growth, structural and optical properties
- auteur
- Xin Zhang, Hugo Lourenco-Martins, Sophie Meuret, Mathieu Kociak, Benedikt Haas, Jean-Luc Rouviere, Pierre-Henri Jouneau, Catherine Bougerol, Thomas Auzelle, Denis Jalabert, Xavier Biquard, Bruno Gayral, Bruno Daudin
- article
- Nanotechnology, 2016, 27 (19), pp.195704. ⟨10.1088/0957-4484/27/19/195704⟩
- Accès au bibtex
-
- titre
- Luminescent nanoparticle trapping with far-field optical fiber-tip tweezers
- auteur
- Jean-Baptiste Decombe, Francisco J. Valdivia-Valero, Géraldine Dantelle, Godefroy Leménager, Thierry Gacoin, Gérard Colas Des Francs, Serge Huant, Jochen Fick
- article
- Nanoscale, 2016, 8 (9), pp.5334-5342. ⟨10.1039/c5nr07727c⟩
- Accès au bibtex
-
- titre
- Towards a spin-ensemble quantum memory for superconducting qubits
- auteur
- C. Grezes, Yuimaru Kubo, B. Julsgaard, T. Umeda, J. Isoya, H Sumiya, S. Onoda, T. Ohshima, Kentaro Nakamura, Igor Diniz Diniz, Alexia Auffèves, Vincent Jacques, Jean-François Roch, Denis Vion, Daniel Estève, Klaus Mølmer, Patrice Bertet
- article
- Comptes Rendus. Physique, 2016, 17 (7), pp.693-704. ⟨10.1016/j.crhy.2016.07.006⟩
- Accès au bibtex
-
- titre
- Scalable performance in solid-state single photon sources
- auteur
- Juan Loredo, N Zakaria, Niccolo Somashi, Carlos Anton, Lorenzo de Santis, Valérian Giesz, Thomas Grange, Matthew A. Broome, Olivier Gazzano, G. Coppola, Isabelle Sagnes, Aristide Lemaitre, Alexia Auffèves, Pascale Senellart, A.G. White
- article
- Optica, 2016, 3 (4), pp.433-440. ⟨10.1364/OPTICA.3.000433⟩
- Accès au bibtex
-
- titre
- Differences and Similarities between Lanthanum and Rare-Earth Iodate Anhydrous Polymorphs: Structures, Thermal Behaviors, and Luminescent Properties
- auteur
- Yan Suffren, Olivier Leynaud, Philippe Plaindoux, Alain Brenier, Isabelle Gautier-Luneau
- article
- Inorganic Chemistry, 2016, 55 (21), pp.11264--11272. ⟨10.1021/acs.inorgchem.6b01850⟩
- Accès au bibtex
-
- titre
- Influence of nickel doping on oxygen-ionic conductivity of the n = 1 Ruddlesden-Popper Phases La1.85Ca0.15(Cu1−xNix)O4−δ (δ = 0.0905)
- auteur
- Adnene Midouni, Mohamed Ikbal Houchati, Walid Belhaj Othman, Nassira Chniba-Boudjada, Monica Ceretti, Werner Paulus, Mouna Jaouadi, Ahmed Hichem Hamzaoui
- article
- Journal of Solid State Chemistry, 2016, 240, pp.101-108. ⟨10.1016/j.jssc.2016.05.017⟩
- Accès au bibtex
-
- titre
- Fluorescent periodic mesoporous organosilica nanoparticles dual-functionalized via click chemistry for two-photon photodynamic therapy in cells
- auteur
- Jonas G. Croissant, Sébastien Picard, Dina Aggad, Maxime Klausen, Chiara Mauriello-Jimenez, Marie Maynadier, Olivier Mongin, Guillaume Clermont, Emilie Genin, Xavier Cattoën, Michel Wong Chi Man, Laurence Raehm, Marcel Garcia, Magali Gary-Bobo, Mireille Blanchard-Desce, Jean-Olivier Durand
- article
- Journal of Materials Chemistry B: Materials for Biology and Medicine, 2016, 4 (33), pp.5567--5574. ⟨10.1039/c6tb00638h⟩
- Accès au bibtex
-
- titre
- Investigation of Diffusion Barrier Layers for Bi-Doped Mg2(Si,Ge) Thermoelectric Legs
- auteur
- C. Prahoveanu, L. Laversenne, C. de Vaulx, A. Bès, K. Azzouz, A. Lacoste
- article
- Journal of Electronic Materials, 2016, 45 (11), pp.5570 - 5581. ⟨10.1007/s11664-016-4801-1⟩
- Accès au bibtex
-
- titre
- Single-Site VOx Moieties Generated on Silica by Surface Organometallic Chemistry: A Way To Enhance the Catalytic Activity in the Oxidative Dehydrogenation of Propane
- auteur
- S. Barman, N. Maity, K. Bhatte, S. Ould-Chikh, O. Dachwald, C. Haessner, Y. Saih, E. Abou-Hamad, I. Llorens, J. L. Hazemann, K. Kohler, V. d'Elia, J. M. Basset
- article
- ACS Catalysis, 2016, 6 (9), pp.5908-5921. ⟨10.1021/acscatal.6b01263⟩
- Accès au bibtex
-
- titre
- Laser from a Manybody Correlated Medium
- auteur
- Eduardo Mascarenhas, Dario Gerace, Hugo Flayac, Marcelo F. Santos, Alexia Auffèves, V. Savona
- article
- Physical Review B, 2016, 93 (20), pp.205148. ⟨10.1103/PhysRevB.93.205148⟩
- Accès au texte intégral et bibtex
-
- titre
- Stratigraphy of a diamond epitaxial three-dimensional overgrowth using doping superlattices
- auteur
- F Lloret, A Fiori, D Araujo, D. Eon, M.P. Villar, E. Bustarret
- article
- Applied Physics Letters, 2016, 108 (18), pp.181901. ⟨10.1063/1.4948373⟩
- Accès au texte intégral et bibtex
-
- titre
- Tracking surface plasmon pulses using ultrafast leakage imaging
- auteur
- Yuri Gorodetski, Thibault Chervy, Shaojun Wang, James Hutchison, Aurélien Drezet, Cyriaque Genet, Thomas W. Ebbesen
- article
- Optica, 2016, 3 (1), pp.48-53. ⟨10.1364/optica.3.000048⟩
- Accès au texte intégral et bibtex
-
- titre
- Wave-Mixing Origin and Optimization in Single and Compact Aluminum Nanoantennas
- auteur
- Maeliss Ethis de Corny, Nicolas Chauvet, Guillaume Laurent, Mathieu Jeannin, Logi Olgeirsson, Aurélien Drezet, Serge Huant, Géraldine Dantelle, Gilles Nogues, Guillaume Bachelier
- article
- ACS photonics, 2016, 3 (10), pp.1840 - 1846. ⟨10.1021/acsphotonics.6b00351⟩
- Accès au texte intégral et bibtex
-
- titre
- Plasmonic coupling between metallized fiber tips with sub-wavelength open apertures
- auteur
- Jean-Baptiste Decombe, Francisco Valdivia-Valero, Gérard Colas Des Francs, Jochen Fick
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2016, Nanophotonics VI, 9884, pp.988437. ⟨10.1117/12.2227737⟩
- Accès au bibtex
-
- titre
- Violation of Bell’s inequalities in a quantum realistic framework
- auteur
- Alexia Auffèves, Philippe Grangier
- article
- International Journal of Quantum Information, 2016, 14 (4), pp.1640002. ⟨10.1142/S0219749916400025⟩
- Accès au bibtex
-
- titre
- Dual-Lagrangian description adapted to quantum optics in dispersive and dissipative dielectric media
- auteur
- Aurelien Drezet
- article
- Physical Review A, 2016, 94 (5), pp.053826. ⟨10.1103/PhysRevA.94.053826⟩
- Accès au texte intégral et bibtex
-
- titre
- Near optimal single photon sources in the solid state
- auteur
- Niccolo Somaschi, Valérian Giesz, Lorenzo de Santis, Juan Loredo, Marcelo P. Almeida, Gaston Hornecker, Simone Luca Portalupi, Thomas Grange, Carlos Anton, Justin Demory, C Gomez, Isabelle Sagnes, N.D. Lanzillotti-Kimura, Aristide Lemaitre, Alexia Auffèves, A.G. White, Loïc Lanco, Pascale Senellart
- article
- Nature Photonics, 2016, 10 (5), pp.340-345. ⟨10.1038/nphoton.2016.23⟩
- Accès au bibtex
-
- titre
- Microwave-Assisted Hydrothermal Synthesis and Annealing of DyF3 Nanoparticles
- auteur
- M. Alakshin, A. Klochkov, E. Kondratyeva, S. Korableva, A. Kiiamov, S. Nuzhina, A. Stanislavovas, S. Tagirov, M. Yu. Zakharov, S. Kodjikian
- article
- Journal of Nanomaterials, 2016, 2016, pp.7148307. ⟨10.1155/2016/7148307⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatio-temporal second-order quantum correlations of surface plasmon polaritons
- auteur
- Martin Berthel, Serge Huant, Aurelien Drezet
- article
- Optics Letters, 2016, 41 (1), pp.37. ⟨10.1364/OL.41.000037⟩
- Accès au bibtex
-
- titre
- Identification of extended defect and interface related luminescence lines in polycrystalline ZnO thin films grown by sol-gel process
- auteur
- Sophie Guillemin, Vincent Consonni, Laetitia Rapenne, Eirini Sarigiannidou, Fabrice Donatini, Georges Bremond
- article
- RSC Advances, 2016, 6 (51), pp.44987-44992. ⟨10.1039/C6RA04634G⟩
- Accès au bibtex
-
- titre
- Dielectric frame, Sellmeier equations, and phase-matching properties of the monoclinic acentric crystal GdCa4O(BO3)3
- auteur
- Feng Guo, Patricia Segonds, Bertrand Ménaert, Jérôme Debray, Gérard Aka, Pascal Loiseau, Benoit Boulanger
- article
- Optics Letters, 2016, 41 (22), pp.5290-5293. ⟨10.1364/OL.41.005290⟩
- Accès au bibtex
-
- titre
- Phase-matching directions and refined Sellmeier equations of the monoclinic acentric crystal BaGa_4Se_7
- auteur
- Elodie Boursier, Patricia Segonds, Bertrand Ménaert, Valeriy Badikov, Vladimir Panyutin, Dmitrii Badikov, Valentin Petrov, Benoit Boulanger
- article
- Optics Letters, 2016, 41 (12), pp.2731-2734. ⟨10.1364/OL.41.002731⟩
- Accès au bibtex
-
- titre
- A quantum rectifier in a one-dimensional photonic channel
- auteur
- Eduardo Mascarenhas, M.F. Santos, Alexia Auffèves, D. Gerace
- article
- Physical Review A, 2016, 93 (4), pp.043821. ⟨10.1103/PhysRevA.93.043821⟩
- Accès au texte intégral et bibtex
-
- titre
- Critical behavior and magnetocaloric study in La 0.6 Sr 0.4 CoO 3 cobaltite prepared by a sol–gel process
- auteur
- Rafik M'Nassri, F. Saadaoui, H. Omrani, Nassira Chniba Boudjada, A. Cheikhrouhou
- article
- RSC Advances, 2016, 6 (56), pp.50968 - 50977. ⟨10.1039/C6RA08132K⟩
- Accès au bibtex
-
- titre
- Dynamics of excitons in individual InAs quantum dots revealed in four-wave mixing spectroscopy
- auteur
- Q. Mermillod, D. Wigger, V. Delmonte, D. E. Reiter, C. Schneider, M. Kamp, S. Höfling, W. Langbein, T. Kuhn, G. Nogues, J. Kasprzak
- article
- Optica, 2016, 3 (4), pp.377-384. ⟨10.1364/OPTICA.3.000377⟩
- Accès au texte intégral et bibtex
-
- titre
- Organosilica hybrid nanomaterials with a high organic content: syntheses and applications of silsesquioxanes
- auteur
- Jonas Croissant, Xavier Cattoën, Jean-Olivier Durand, Michel Wong Chi Man, Niveen Khashab
- article
- Nanoscale, 2016, 8 (48), pp.19945-19972. ⟨10.1039/c6nr06862f⟩
- Accès au bibtex
-
- titre
- Effects of high-power laser irradiation on sub-superficial graphitic layers in single-crystal diamond
- auteur
- F. Picollo, S. Rubanov, C. Tomba, A. Battiato, E. Enrico, A. Perrat-Mabilon, C. Peaucelle, T.N. Tran Thi, L. Boarino, E. Gheeraert, P. Olivero
- article
- Acta Materialia, 2016, 103, pp.665-671. ⟨10.1016/j.actamat.2015.10.046⟩
- Accès au bibtex
-
- titre
- Electronic properties of asymmetrically doped twisted graphene bilayers
- auteur
- Guy Trambly de Laissardière, Omid Faizy Namarvar, Didier Mayou, Laurence Magaud
- article
- Physical Review B, 2016, 93 (23), pp.235135. ⟨10.1103/PhysRevB.93.235135⟩
- Accès au texte intégral et bibtex
-
- titre
- Microstructure and interphase magnetic coupling in Nd2Fe14B/alpha-Fe nanocomposites obtained by mechanical milling and short time annealing
- auteur
- Sever Mican, Răzvan Hirian, Leopold V.B. Diop, Ionel Chicinaş, Olivier Isnard, Viorel Pop
- article
- Romanian Journal of Physics, 2016, 61 (3-4), pp.506-517
- Accès au bibtex
-
- titre
- Coherent manipulation of a solid-state artificial atom with few photons
- auteur
- Valérian Giesz, Niccolo Somaschi, Gaston Hornecker, Thomas Grange, Bogdan Reznychenko, Lorenzo de Santis, Justin Demory, C Gomez, Isabelle Sagnes, Aristide Lemaitre, Olivier Krebs, N. D. Lanzillotti-Kimura, Loïc Lanco, Alexia Auffèves, Pascale Senellart
- article
- Nature Communications, 2016, 7, pp.11986. ⟨10.1038/ncomms11986⟩
- Accès au texte intégral et bibtex
-
Communication dans un congrès
- titre
- Foreword
- auteur
- Patricia de Rango, Daniel Bellet, Adrien Bidaud, Henri Mariette
- article
- Séminaire Daniel Dautreppe « Demain l’énergie », Dec 2016, Grenoble, France. pp.365-366, ⟨10.1016/j.crhy.2017.10.005⟩
- Accès au bibtex
-
- titre
- Potential fluctuations on CZTSSe solar cells admittance
- auteur
- Frédérique Ducroquet, Louis Grenet, Raphaël Fillon, Henri Mariette
- article
- 7th Kesterite Workshop, Nov 2016, Leuven, Belgium
- Accès au bibtex
-
- titre
- Phase-Matching Sellmeier Equations and Nonlinear Coefficientsof the New Nonlinear Crystals NaI3O8
- auteur
- Feng Guo, Patricia Segonds, Julien Zaccaro, Jérôme Debray, Isabelle Gautier-Luneau, Benoit Boulanger
- article
- Advanced Solid-State Lasers, Nov 2016, Boston MA, United States
- Accès au bibtex
-
- titre
- Phase-Matching Properties of the Monoclinic Crystal BaGa4Se7
- auteur
- Elodie Boursier, Patricia Segonds, Bertrand Ménaert, J Badikov, V. Panyutin, D. Badikov, Valentin Petrov, Benoit Boulanger
- article
- Advanced Solid State Lasers, Nov 2016, Boston MA, United States
- Accès au bibtex
-
- titre
- Refined Orientation of the optical axes as a function of wavelength in monoclinic double tungstates
- auteur
- Pavel Loiko, Patricia Segonds, Patricia Loren-Inacio, Alexandra Pena Revellez, Jérôme Debray, Daniel Rytz, Filippov V, Konstantin Yumashev, Maria Cinta Pujol, Xavier Mateos, M Aguilo, Francesc Diaz, Eichhorn M, Benoit Boulanger
- article
- Advanced Solid-State Lasers, Oct 2016, Boston MA, United States
- Accès au bibtex
-
- titre
- Synthèse solvothermale de nanoparticules luminescentes
- auteur
- Géraldine Dantelle
- article
- 10ème Forum des Hautes Pressions, Oct 2016, La Londe-les-Maures, France
- Accès au bibtex
-
- titre
- Glycothermal synthesis of Ce-doped garnet nanocrystals
- auteur
- Géraldine Dantelle, Thierry Gacoin, Alain Ibanez, Ram Seshadri
- article
- E-MRS Fall meeting, Sep 2016, Warsaw, Poland
- Accès au bibtex
-
- titre
- Trade-off for optimizing drift region of diamond power devices
- auteur
- Gauthier Chicot, David Eon, Nicolas Clément, Jean-Paul Rouger
- article
- E-MRS 2016, Sep 2016, Varsovie, Poland
- Accès au bibtex
-
- titre
- Self-assembling through H bonds in urea and thiourea based bridged silsesquioxanes
- auteur
- Rozenn Le Parc, Vânia Freitas, Ana M. Cojocariu, Michel Wong Chi Man, Xavier Cattoën, Rute A. S. Ferreira, Luis D. Carlos, John R. Bartlett, Jean-Louis Bantignies
- article
- The 2nd International Conference on NanoMaterials for Health, Energy and the Environment, Sep 2016, Flic en Flac, Mauritius
- Accès au bibtex
-
- titre
- Integrated temperature sensor with diamond Schottky diodes using a thermosensitive parameter
- auteur
- Gaëtan Perez, Nicolas Clément, Jean-Paul Rouger, Pierre Lefranc, Pierre-Olivier Jeannin, Yvan Avenas, Gauthier Chicot, David Eon
- article
- ICDCM2016 - International Conference on Diamond and Carbon Materials, Sep 2016, Montpellier, France
- Accès au bibtex
-
- titre
- Trade-off for optimizing drift region of diamond power devices
- auteur
- Gauthier Chicot, David Eon, Nicolas Clément, Jean-Paul Rouger
- article
- ICDCM (International Conference on Diamond and Carbon Materials) 2016, Sep 2016, Montpellier, France
- Accès au bibtex
-
- titre
- How to measure low doping concentrations by NMR spectroscopy
- auteur
- Sébastien Maron, Nadège Ollier, Thierry Gacoin, Géraldine Dantelle
- article
- E-MRS Fall Meeting, Sep 2016, Varsovie, Poland
- Accès au bibtex
-
- titre
- A cross-field perspective on Symmetry & Crystal to introduce Crystallography
- auteur
- Jean-Louis Hodeau
- article
- ECM-30 (2016) 30rd Congress, Aug 2016, Basel, Switzerland
- Accès au bibtex
-
- titre
- Structure solution of the complex γ-La6W2O15
- auteur
- Stéphanie Kodjikian, Christophe Lepoittevin, Holger Klein, Thomas Schönenberger, Oleg I. Lebedev, Olivier Leynaud, Marie-Hélène Chambrier, François Goutenoire
- article
- European Microscopy Congress 2016, Aug 2016, Lyon, France. ⟨10.1002/9783527808465.EMC2016.6316⟩
- Accès au bibtex
-
- titre
- Effect of carbon on magnetic order in Mn-Al-C alloys
- auteur
- Muriel Tyrman, Alexandre Pasko, Loïc Perrière, Victor H. Etgens, Olivier Isnard, Frédéric Mazaleyrat
- article
- 8th Joint European Magnetic Symposia (JEMS 2016), Aug 2016, Glasgow, United Kingdom
- Accès au bibtex
-
- titre
- Growth conditions to stabilize both non-equivalent polar faces, c+ and c-, of KTiOPO4 crystals
- auteur
- Alexandra Pena, Bertrand Ménaert, Jérôme Debray, Benoit Boulanger
- article
- The 18th International Conference on Crystal Growth & Epitaxy ICCGE-18, Aug 2016, Nagoya, Japan
- Accès au bibtex
-
- titre
- Selective area growth of ZnO nanowires with controllable polarity using chemical bath deposition
- auteur
- T. Cossuet, A. Bocheux, J.L. Thomassin, R. Parize, F. Robaut, E. Sarigiannidou, F. Donatini, E. Appert, Vincent Consonni
- article
- Conférence Nationale sur les Processus Ultimes d’épitaxie des Semiconducteurs, Jul 2016, Marseille, France
- Accès au bibtex
-
- titre
- Cristallographie et pigments anciens : au-delà des pics de Bragg…
- auteur
- Pauline Martinetto, Sophie Cersoy, P. Bordet, Jean-Louis Hodeau, Louise Samain, Elsa van Elslande, Philippe Walter
- article
- Association Française de Cristallographie 2016, Jul 2016, Marseille, France
- Accès au bibtex
-
- titre
- Potentiel d’une source Compton pour les applications en science des matériaux ; exemple de ThomX
- auteur
- Jean-Louis Hodeau, Jean-Louis F Hazemann, Pauline Martinetto, Marie Jacquet, Philippe Walter, Alberto Bravin, François Estève, O Proux, Nathalie Boudet, Philippe Jeantet, J. Lacipiere, Emmanuel Roy, Cyril Bruyère, Alessandro Variola
- article
- Colloque AFC (2016). 30e congrès, Association Française de Cristallographie, Jul 2016, Marseille, France
- Accès au bibtex
-
- titre
- Gd3Sc2Al3O12 doped Ce3+: a new candidate as phosphor for LED-based lighting ?
- auteur
- Géraldine Dantelle, Lucie Devys, Christophe Dujardin, Ram Seshadri, Thierry Gacoin
- article
- SPSSM-2016 (Structure-Property Relationship in Solid State Materials), Jul 2016, Nantes, France
- Accès au bibtex
-
- titre
- Le passé révélé des ancêtres aborigènes Jawoyn à Nawarla Gabarnmang (Australie) : l'étude structurale des crayons et des écailles colorées
- auteur
- Géraldine Castets, Emilie Chalmin, Pauline Martinetto, Jean Louis Hodeau, Bruno David, Jean-Jacques Delannoy, Jean Michel Geneste, R. G Gunn, M Katherine, Nils Blanc
- article
- Congrès de l’Association Française de Cristallographie, Jul 2016, Marseille, France
- Accès au bibtex
-
- titre
- Self-catalyzed growth of GaAs nanowires on silicon by HVPE
- auteur
- Zhenning Dong, Yamina Andre, Vladimir Dubrovskii, Catherine Bougerol, Guillaume Monier, Reda Ramdani, Agnes Trassoudaine, Christine Leroux, Dominique Castelluci, Evelyne Gil
- article
- 2016 International Conference Laser Optics (LO), Jun 2016, St Petersburg, Russia. ⟨10.1109/LO.2016.7549901⟩
- Accès au bibtex
-
- titre
- Speciation and solubility of Fe(III) in crustal fluids
- auteur
- Denis Testemale
- article
- Goldschmidt conference, Jun 2016, Yokohama, Japan
- Accès au bibtex
-
- titre
- Self-Catalyzed Growth of Highly Vertical GaAs Core-Shell Nanowires on Chemically-Treated Si(111) Surfaces
- auteur
- Siew Li, Yann Genuist, Henri Mariette, Nikos T Pelekanos
- article
- 2016 Compound Semiconductor Week, Jun 2016, Toyama, Japan
- Accès au texte intégral et bibtex
-
- titre
- Selective Area Growth of Well-Ordered Epitaxial ZnO Nanowire Arrays with Controllable Polarity, 2016
- auteur
- E. Sarigiannidou, E. Appert, S. Guillemin, A. Bocheux, F. Donatini, F. Robaut, I.C. Robin, J. Kioseoglou, Vincent Consonni
- article
- International Conference on High Temperature Ceramic Matrix Composites (HTCMC-9) & Global Forum on Advanced Materials and Technologies for Sustainable Development (GFMAT 2016), Jun 2016, Toronto, Canada
- Accès au bibtex
-
- titre
- High index dielectric nanostructures: From directional scattering to electric/magnetic local density of optical states control
- auteur
- Aurelien Cuche
- article
- META 2018, Jun 2016, Marseille, France
- Accès au bibtex
-
- titre
- Dimensionnement et mise en forme d'inductances pour convertisseurs de puissance
- auteur
- Gaëlla Frajer, Gérard Delette, Cyril Rado, Hervé Chazal, Pierre Perichon, Olivier Isnard, Florence Servant
- article
- Symposium de Genie Electrique, Jun 2016, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Simulation numérique et caractérisation de composants de puissance en diamant
- auteur
- Nicolas Clément, Jean-Paul Rouger, Aurélien Maréchal, Gauthier Chicot, Gaetan Perez, Thanh-Toan Pham, Pierre Lefranc, Pierre-Olivier Jeannin, Yvan Avenas, David Eon, Julien Pernot, Etienne Gheeraert
- article
- Symposium de Genie Electrique, Jun 2016, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Diodes Schottky diamant fonctionnant à 200°C
- auteur
- Richard Monflier, Karine Isoird, Alain Cazarré, Josiane Tasselli, Alexandra Servel, Jocelyn Achard, David Eon, Maria José Valdivia Birnbaum
- article
- Symposium de Génie Electrique, G2Elab, Jun 2016, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- La diode Schottky en diamant : le présent et le futur
- auteur
- David Eon, Julien Pernot, Aboulaye Traoré, Nicolas Clément, Jean-Paul Rouger, Etienne Gheeraert
- article
- Symposium de Genie Electrique, Jun 2016, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Picosecond mid-infrared optical parametric oscillator based on cylindrical MgO:PPLN
- auteur
- K. C. Suddapalli, J. Wei, Jérôme Debray, Vincent Kemlin, Benoit Boulanger, Ishizuki Hideki, Taira Takunori, M. Ebrahim-Zadeh
- article
- CLEO: Science and Innovations, Jun 2016, San Jose CA, United States
- Accès au bibtex
-
- titre
- In-situ biasing of semiconducting NWs in transmission electron microscopy: doping quantification and contact formation
- auteur
- M. den Hertog
- article
- APMAS Conference, Jun 2016, Istanbul, Turkey
- Accès au bibtex
-
- titre
- European Research Infrastructure for Heritage Science
- auteur
- Jean-Louis Hodeau, Pauline Martinetto, Pierre Bordet, Michel Anne, Catherine Dejoie
- article
- ERIHS−FR ministère de la Recherche, May 2016, Paris, France
- Accès au bibtex
-
- titre
- In-situ biasing of semiconducting NWs in transmission electron microscopy: doping quantification and contact formation
- auteur
- M. den Hertog
- article
- EMN Nanowire conference, May 2016, Amsterdam, Netherlands
- Accès au bibtex
-
- titre
- High efficiency 5-beam pumped non-collinear parametric amplification
- auteur
- Benoit Tropheme, Benoit Boulanger, Gabriel Mennerat
- article
- The Conference on Lasers and Electro-Optics (CLEO) Europe, May 2016, Munich, Germany
- Accès au bibtex
-
- titre
- Optimization and design of diamond High Voltage power devices
- auteur
- Gauthier Chicot, David Eon, Nicolas Clément, Jean-Paul Rouger
- article
- E-MRS Spring meeting 2016 , May 2016, Lille, France
- Accès au bibtex
-
- titre
- What can the chemistry of archaeological artefacts tell us about the past? Spatio-temporal correlations at Nawarla Gabarnmang (Australia)”
- auteur
- Géraldine Castets, Emilie Chalmin, Bruno David, Jean-Jacques Delannoy, Jean Michel Geneste, Pauline Martinetto, Jean Louis Hodeau, R. G Gunn
- article
- 41st International Symposium on Archaeometry (ISA),, May 2016, Kalamata, Greece
- Accès au bibtex
-
- titre
- Inversion de stabilité relative sous contraintes mécaniques : proposition d’une explication par comparaison des structures cristallographiques
- auteur
- J. Linol, Pauline Martinetto, M. Anne, G. Coquerel
- article
- Colloque Francophone de Cristallisation et de Précipitation Industrielles (CRISTAL-8), May 2016, Rouen, France
- Accès au bibtex
-
- titre
- Phase-Matching Measurements and Refinement of Sellmeier Equations of the New Nonlinear Crystal BaGa4Se7
- auteur
- Elodie Boursier, Patricia Segonds, Bertrand Ménaert, Jérôme Debray, Valery Badikov, Vladimir Panyutin, Dmitrii Badikov, Valentin Petrov, Benoit Boulanger
- article
- Mid-Infrared Coherence Sources MICS, Mar 2016, Long Beach, United States
- Accès au bibtex
-
- titre
- Optimal design of unipolar diamond power devices: drift region and channel dose
- auteur
- Gauthier Chicot, David Eon, Nicolas Clément, Jean-Paul Rouger
- article
- Hasselt Diamond Workshop 2016 - SBDD XXI, Mar 2016, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Monolithic integration in CVD diamond: Schottky power diodes and integrated temperature sensor
- auteur
- Nicolas Clément, Jean-Paul Rouger, D. Eon, Gaëtan Perez, Pierre-Olivier Jeannin, Pierre Lefranc, Julien Pernot, Etienne Gheeraert, Yvan Avenas
- article
- 2016 MRS Spring Meeting and Exhibit - Diamond Power Electronic Devices symposium, Mar 2016, Phoenix, United States
- Accès au bibtex
-
- titre
- Fabrication and study of metal contacts on germanium nanowires using electrical biasing in a transmission electron microscope
- auteur
- M. den Hertog
- article
- DPG Frühjahrstagung, Mar 2016, Regensburg, Germany
- Accès au bibtex
-
- titre
- Full characterization of the nonlinear optical properties of crystals for the generation of infrared parametric light
- auteur
- Patricia Segonds, Benoit Boulanger, Elodie Boursier, Jérôme Debray, Bertrand Ménaert, Corinne Felix, David Jegouso, Véronique Boutou
- article
- 7th International Symposium on Optical Materials, Feb 2016, Lyon, France
- Accès au bibtex
-
- titre
- Third Harmonic Generation: a unique tool for characterizing the anisotropy of an optical fiber
- auteur
- Véronique Boutou, Benoit Boulanger, Adrien Borne, Corinne Felix, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson
- article
- 7th International Symposium on Optical Materials, Feb 2016, Lyon, France
- Accès au bibtex
-
- titre
- Peintures rupestres en territoire Jawoyn, Terre d’Arnhem (Australie) : Une étude intégrée
- auteur
- Géraldine Castets, Emilie Chalmin, Bruno David, Jean Michel Geneste, Jean-Jacques Delannoy, Robert Gunn, Fayçal Soufi, Sébastien Pairis, Pauline Martinetto, Sophie Cersoy, Bryce Barker, Lara Lamb, Stéphane Hoerlé, Elisa Boche
- article
- Sobre rocas y huesos: las sociedades prehistóricas y sus manifestaciones plásticas, Arte de la Sociedades Prehistoricas, 2016, Nerja, Espagne. pp.379-405
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Click Functionalization of Sol–Gel Materials
- auteur
- Shridevi Shenoi Perdoor, Achraf Noureddine, Fabien Dubois, Michel Wong Chi Man, Xavier Cattoën
- article
- Handbook of Sol-Gel Science and Technology, 2016, ⟨10.1007/978-3-319-19454-7_95-1⟩
- Accès au bibtex
-
- titre
- Use of the Pair Distribution Function Analysis in the Context of Pharmaceutical Materials
- auteur
- Pierre Bordet, Pauline Martinetto
- article
- Marc Descamps. Disordered Pharmaceutical Materials , Wiley-VCH, pp.283-300, 2016, 9783527331253. ⟨10.1002/9783527652693.ch10⟩
- Accès au bibtex
-
- titre
- Theory of X-ray Absorption Near Edge Structure
- auteur
- Yves Joly, S Grenier
- article
- J. A. van Bokhoven and C. Lamberti. X-ray Absorption and X-ray Emission Spectroscopy – Theory and Applications, John Wiley & Sons, Ltd., pp.73, 2016, 978-1-118-84423-6. ⟨10.1002/9781118844243.ch4⟩
- Accès au bibtex
-
HDR
- titre
- Propagation de la lumière dans des matériaux diélectriques nanostructurés dopés lanthanides
- auteur
- Géraldine Dantelle
- article
- Matériaux. Université Grenoble Alpes, 2016
- Accès au texte intégral et bibtex
-
Autre publication scientifique
- titre
- Des smartphones pour faire des expériences de physique au lycée et à l’université
- auteur
- Joel Chevrier
- article
- 2016
- Accès au texte intégral et bibtex
-
Ouvrages
- titre
- La lumière en lumière : du photon à l’internet
- auteur
- Benoit Boulanger, Saïda Guellati-Khélifa, Daniel Hennequin, M. Stehle
- article
- EDP Sciences, 2016, 978-2-7598-1829-7
- Accès au bibtex
-
Poster de conférence
- titre
- Synthèse sous haute pression et haute température de nouveaux composés de skuttérudites et de nouveaux ternaires Re3Pt4Ge13
- auteur
- Christine Opagiste, Rose-Marie Galéra, Murielle Legendre, Céline Goujon
- article
- Forum des Hautes Pressions, Oct 2016, La londe les Maures, France
- Accès au bibtex
-
- titre
- Dechlorination of ferrous archaeological artefacts in subcritical conditions: understanding of the corrosion layer transformation thanks to a multi-scale characterisation
- auteur
- Marine Bayle, P. de Viviès, J.-B Memet, F. Mercier, E. Foy, S. Reguer, Jean-Louis F Hazemann, Philippe Dillmann, Delphine D. Neff
- article
- Synchrotron Radiation and Neutrons in Art and Archaeology Conference, Sep 2016, Chicago, United States
- Accès au texte intégral et bibtex
-
- titre
- Thermal Stability of Ferroelectric Domain Gratings in Rb-doped KTiOPO4
- auteur
- Gustav Lindgren, Alexandra Peña, Andrius Zukauskas, Charlotte Liljestrand, Bertrand Ménaert, Benoit Boulanger, Carlota Canalias
- article
- ISAF/ECAPD/PFM Conference, Aug 2016, Berlin, Germany
- Accès au bibtex
-
- titre
- Nouveaux alliages intermétalliques riches en magnésium pour le stockage de H2
- auteur
- I. Iliescu, A. Bes, L. Laversenne, A. Lacoste
- article
- Les Journées Scientifiques 2016 – Institut Carnot Energies du Futur, Jun 2016, Grenoble, France.
- Accès au bibtex
-
- titre
- Mg-Ti-H films deposited by reactive plasma sputtering for hydrogen storage applications
- auteur
- I. Iliescu, A. Bes, L. Laversenne, A. Lacoste
- article
- Hybrides as Energy Materials (HYDEM2016), Jun 2016, Aarhus, Denmark.
- Accès au bibtex
-
- titre
- Angle noncritical phase-matched second harmonic generation in BaGa4Se7
- auteur
- Elodie Boursier, Patricia Segonds, Jérôme Debray, Patricia Loren-Inacio, Vladimir Panyutin, Dmitrii Badikov, Valentin Petrov, Benoit Boulanger
- article
- 7th International Symposium on Optical Materials, Feb 2016, Lyon, France
- Accès au bibtex
-
- titre
- Conditions for stable growth of the non-equivalent polar faces c+ and c- of KTiOPO4
- auteur
- Alexandra Peña, Bertrand Ménaert, Jérôme Debray, Benoit Boulanger
- article
- 7th International Symposium on Optical Materials, Feb 2016, Lyon, France
- Accès au bibtex
-
- titre
- Phase-matching directions and refined Sellmeier equations of the monoclinic GdCa4O(BO3)3
- auteur
- Feng Guo, Patricia Segonds, Jérôme Debray, Bertrand Ménaert, Benoit Boulanger
- article
- 7th International Symposium on Optical Materials, Feb 2016, Lyon, France
- Accès au bibtex
-
Thèse
- titre
- Synthèse et propriétés physiques sous haute pression de composés à base de Cr4+
- auteur
- Justin Jeanneau
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2016. Français. ⟨NNT : 2016GREAY088⟩
- Accès au texte intégral et bibtex
-
- titre
- Realization and optimization of plasmonic structures for directional control of light
- auteur
- Quanbo Jiang
- article
- Other [cond-mat.other]. Université Grenoble Alpes, 2016. English. ⟨NNT : 2016GREAY086⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation à l'échelle micro/nanométrique par Force Feedback Microscope
- auteur
- Simon Carpentier
- article
- Mécanique des matériaux [physics.class-ph]. Université Grenoble Alpes, 2016. Français. ⟨NNT : 2016GREAY082⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetocaloric materials for magnetic refrigeration at room temperature
- auteur
- Xueying Hai
- article
- Thermics [physics.class-ph]. Université Grenoble Alpes, 2016. English. ⟨NNT : 2016GREAY073⟩
- Accès au texte intégral et bibtex
-
- titre
- Control of the emission properties of semiconducting nanowire quantum dots using plasmonic nanoantennas
- auteur
- Mathieu Emmanuel Jeannin
- article
- Condensed Matter [cond-mat]. Université Grenoble Alpes, 2016. English. ⟨NNT : 2016GREAY053⟩
- Accès au texte intégral et bibtex
-
- titre
- Nouvelle génération de luminophores pour l'éclairage par LED
- auteur
- Pauline Burner
- article
- Energie électrique. Université Grenoble Alpes, 2016. Français. ⟨NNT : 2016GREAI064⟩
- Accès au texte intégral et bibtex
-
- titre
- Génération paramétrique infrarouge dans les cristaux de La3Ga5,5Ta0,5O14 et BaGa4Se7
- auteur
- Elodie Boursier
- article
- Optique / photonique. Université Grenoble Alpes, 2016. Français. ⟨NNT : 2016GREAT070⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic polaron in (Cd,Mn)Te quantum dot inserted in ZnTe nanowire
- auteur
- Alberto Artioli
- article
- Condensed Matter [cond-mat]. Université Grenoble Alpes, 2016. English. ⟨NNT : 2016GREAY006⟩
- Accès au texte intégral et bibtex
-
- titre
- Effets de basse dimensionnalité et de la frustration magnétique dans les composés du type AB₂O₆
- auteur
- Marlon Luiz Hneda
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université Grenoble Alpes; Universidade Federal do Rio Grande do Sul (Porto Alegre, Brésil), 2016. Français. ⟨NNT : 2016GREAY100⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectroscopie cohérente non-linéaire de boîtes quantiques uniques dans des nanostructures photoniques
- auteur
- Quentin Mermillod-Anselme
- article
- Physique Quantique [quant-ph]. Université Grenoble Alpes, 2016. Français. ⟨NNT : 2016GREAY005⟩
- Accès au texte intégral et bibtex
-
- titre
- Two-mode giant optical non-linearity with a single quantum dot in a photonic waveguide
- auteur
- Hoai Anh Nguyen
- article
- Optics [physics.optics]. Université Grenoble Alpes, 2016. English. ⟨NNT : 2016GREAY036⟩
- Accès au texte intégral et bibtex
-
- titre
- Propriétés structurales, magnétiques et magnétocaloriques de pnictures isotypes de Mn(Fe,Co)P
- auteur
- Sonia Khadechi-Haj Khlifa
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2016. Français. ⟨NNT : 2016GREAY031⟩
- Accès au texte intégral et bibtex
-
- titre
- Plasmonique classique et quantique sous pointe optique par microscopie en champ proche
- auteur
- Martin Berthel
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2016. Français. ⟨NNT : 2016GREAY001⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Dual Dynamics theory
- auteur
- G. Bachelier
- article
- 2016
- Accès au texte intégral et bibtex
-
2015
Article dans une revue
- titre
- Mechanical behavior of highly reactive nanostructured MgH2
- auteur
- Simeon Nachev, Patricia de Rango, Nataliya Skryabina, A. N. Skachkova, V. Aptukov, Daniel Fruchart, Philippe Marty
- article
- International Journal of Hydrogen Energy, 2015, 40 (47), pp.17065-17074. ⟨10.1016/j.ijhydene.2015.05.022⟩
- Accès au bibtex
-
- titre
- The simplest causal inequalities and their violation
- auteur
- Cyril Branciard, Mateus Araújo, Adrien Feix, Fabio Costa, Časlav Brukner
- article
- New Journal of Physics, 2015, 18, pp.013008. ⟨10.1088/1367-2630/18/1/013008⟩
- Accès au bibtex
-
- titre
- 15 K liquid hydrogen thermal Energy Storage Unit for future ESA science missions
- auteur
- P de Sousa, D Martins, G Tomás, J Barreto, J Noite, M Linder, D. Fruchart, P de Rango, R. Haettel, I Catarino, G Bonfait
- article
- IOP Conference Series: Materials Science and Engineering, 2015, 101, pp.012191. ⟨10.1088/1757-899X/101/1/012191⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure, Bonding, and Stability of Mercury Complexes with Thiolate and Thioether Ligands from High-Resolution XANES Spectroscopy and First-Principles Calculations
- auteur
- Alain Manceau, Cyprien Lemouchi, M. Rovezzi, Martine Lanson, Pieter Glatzel, L. Nagy Kathryn, Isabelle Gautier-Luneau, Yves Joly, Mironel Enescu
- article
- Inorganic Chemistry, 2015, 54 (24), pp.11776-11791. ⟨10.1021/acs.inorgchem.5b01932⟩
- Accès au bibtex
-
- titre
- Potential barrier heights at metal on oxygen-terminated diamond interfaces
- auteur
- Pierre Muret, Aboulaye Aboulaye.Traore@neel.Cnrs.Fr Traoré, Aurélien Maréchal, David Eon, Julien Pernot, José Carlos Pinero, Maria del Pilar Villar, Daniel Araujo
- article
- Journal of Applied Physics, 2015, 118 (20), pp.204505. ⟨10.1063/1.4936317⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectroscopic XPEEM of highly conductive SI-doped GaN wires
- auteur
- Olivier Renault, J. Morin, Pierre Tchoulfian, Chevalier Nicolas, V. Feyer, Julien Pernot, Claus Michael Schneider
- article
- Ultramicroscopy, 2015, LEEM-PEEM 9, 159 (3), pp.476-481. ⟨10.1016/j.ultramic.2015.05.007⟩
- Accès au bibtex
-
- titre
- Tetrahedrally coordinated carbonates in Earth’s lower mantle
- auteur
- Eglantine Boulard, Ding Pan, Giulia Galli, Zhenxian Liu, Wendy L Mao
- article
- Nature Communications, 2015, 6, pp.6311. ⟨10.1038/ncomms7311⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrothermal controls on the genesis of REE deposits: Insights from an in situ XAS study of Yb solubility and speciation in high temperature fluids (T<400°C)
- auteur
- Marion Louvel, Amélie Bordage, Denis Testemale, Li Zhou, John Mavrogenes
- article
- Chemical Geology, 2015, 417, pp.228 - 237. ⟨10.1016/j.chemgeo.2015.10.011⟩
- Accès au bibtex
-
- titre
- Structural, thermal and magnetic characteristics of Fe3O4/Ni3Fe composite powder obtained by mechanosynthesis-annealing route
- auteur
- T.F. Marinca, H.F. Chicinaş, B.V. Neamţu, O. Isnard, I. Chicinaş
- article
- Journal of Alloys and Compounds, 2015, 652, pp.313 - 321. ⟨10.1016/j.jallcom.2015.08.249⟩
- Accès au bibtex
-
- titre
- Itinerant-electron metamagnetism of the Hf1−xTaxFe2 (x=0.125 and 0.14) compounds under high pressure
- auteur
- L.V.B. Diop, Z. Arnold, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2015, 395, pp.251 - 256. ⟨10.1016/j.jmmm.2015.07.078⟩
- Accès au bibtex
-
- titre
- Crystal and magnetic effects of selected substitutions of Ni for Fe and for Co in the orthorhombic MnFe0.35Co0.65P compound
- auteur
- Patricia de Rango, Sonia Haj-Khlifa, Daniel Fruchart, Ryszard Zach
- article
- Journal of Alloys and Compounds, 2015, 652, pp.322 - 330. ⟨10.1016/j.jallcom.2015.08.194⟩
- Accès au bibtex
-
- titre
- Temporally resolved second-order photon correlations of exciton-polariton Bose-Einstein condensate formation
- auteur
- Albert F. Adiyatullin, Mitchell D. Anderson, Pierre V. Busi, Hadis Abbaspour, Régis André, Marcia T. Portella-Oberli, Benoit Deveaud
- article
- Applied Physics Letters, 2015, 107 (22), pp.221107. ⟨10.1063/1.4936889⟩
- Accès au bibtex
-
- titre
- Out of equilibrium anomalous elastic response of a water nano-meniscus
- auteur
- Simon Carpentier, Mario Rodrigues, Miguel V Vitorino, Luca Costa, Elisabeth Charlaix, Joel Chevrier
- article
- Applied Physics Letters, 2015, 107 (20), pp.204101. ⟨10.1063/1.4935836⟩
- Accès au texte intégral et bibtex
-
- titre
- Hole injection contribution to transport mechanisms in metal/p− /p++and metal/oxide/p− /p++ diamond structures
- auteur
- Pierre Muret, David Eon, Aboulaye Traoré, Aurélien Maréchal, Julien Pernot, Etienne Gheeraert
- article
- physica status solidi (a), 2015, 212 (11), pp.2501-2506. ⟨10.1002/pssa.201532187⟩
- Accès au texte intégral et bibtex
-
- titre
- In situ X-Ray diffraction study of hydrogen sorption in V-rich Ti-V-Cr bcc solid solutions
- auteur
- Damien Plante, Jérome Andrieux, Laetitia Laversenne, Salvatore Miraglia
- article
- Journal of Alloys and Compounds, 2015, 648, pp.79-85. ⟨10.1016/j.jallcom.2015.05.254⟩
- Accès au texte intégral et bibtex
-
- titre
- Oxidation Mechanism of Aluminum Nanopowders
- auteur
- Marie-Vanessa Coulet, Benoit Rufino, Pierre-Henry Esposito, Thomas Neisius, Olivier Isnard, Renaud Denoyel
- article
- Journal of Physical Chemistry C, 2015, 119 (44), pp.25063 - 25070. ⟨10.1021/acs.jpcc.5b07321⟩
- Accès au bibtex
-
- titre
- Viewpoint: Nuclear Spin Points out Arrow of Time
- auteur
- Alexia Auffèves
- article
- Physics, 2015, 8, pp.106. ⟨10.1103/Physics.8.106⟩
- Accès au bibtex
-
- titre
- Physical properties of 20% Cr-doped Pr0.7Ca0.3MnO3 perovskite
- auteur
- A. Selmi, A. Bettaibi, H. Rahmouni, R. M’nassri, Nassira Boudjada, A. Chiekhrouhou, K. Khirouni
- article
- Ceramics International, 2015, 41 (9), pp.11221 - 11227. ⟨10.1016/j.ceramint.2015.05.072⟩
- Accès au bibtex
-
- titre
- Magnetic and magnetocaloric study of manganite compounds Pr0.5A0.05Sr0.45MnO3 (A=Na and K) and composite
- auteur
- A. Jerbi, A. Krichene, Nassira Boudjada, W. Boujelben
- article
- Physica B: Condensed Matter, 2015, 477, pp.75 - 82. ⟨10.1016/j.physb.2015.08.022⟩
- Accès au bibtex
-
- titre
- Sulfur radical species form gold deposits on Earth
- auteur
- Gleb S. Pokrovski, Maria A. Kokh, Damien Guillaume, Anastassia Y. Borisova, Pascal Gisquet, Jean-Louis Hazemann, Eric Lahera, William del Net, Olivier Proux, Denis Testemale, Volker Haigis, Romain Jonchiere, Ari P. Seitsonen, Guillaume Ferlat, Rodolphe Vuilleumier, Antonino Marco Saitta, Marie-Christine Boiron, Jean Dubessy
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2015, 112 (44), pp.13484-13489. ⟨10.1073/pnas.1506378112⟩
- Accès au bibtex
-
- titre
- Experimental consequences of quantum critical points at high temperatures
- auteur
- D. Freitas, Pierre Rodière, M. Nuñez, G. Garbarino, A. Sulpice, J. Marcus, F. Gay, M. Continentino, M. Núñez-Regueiro
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 92 (20), pp.205123. ⟨10.1103/PhysRevB.92.205123⟩
- Accès au bibtex
-
- titre
- Effect of pressure and high magnetic field on phase transitions and magnetic properties of Ni 1.92 Mn 1.56 Sn 0.52 and Ni 2 MnSn Heusler compounds
- auteur
- J. Kaštil, J. Kamarád, O. Isnard, Y. Skourski, M. Míšek, Z. Arnold
- article
- Journal of Alloys and Compounds, 2015, 650, pp.248 - 255. ⟨10.1016/j.jallcom.2015.07.284⟩
- Accès au bibtex
-
- titre
- Effect of chromium concentration on the structural, magnetic and electrical properties of praseodymium-calcium manganite
- auteur
- A. Bettaibi, R. M'Nassri, A. Selmi, H. Rahmouni, Nassira Boudjada, A. Cheikhrouhou, K. Khirouni
- article
- Journal of Alloys and Compounds, 2015, 650, pp.268 - 276. ⟨10.1016/j.jallcom.2015.05.161⟩
- Accès au bibtex
-
- titre
- Investigation of Mg2(Si,Sn) thin films for integrated thermoelectric devices
- auteur
- C. Prahoveanu, A. Lacoste, Stéphane Béchu, C. de Vaulx, K. Azzouz, L. Laversenne
- article
- Journal of Alloys and Compounds, 2015, 649, pp.573-578. ⟨10.1016/j.jallcom.2015.07.043⟩
- Accès au bibtex
-
- titre
- Observation of a phononic Mollow triplet in a hybrid spin-nanomechanical system
- auteur
- Benjamin Pigeau, Sven Rohr, Laure Mercier de Lépinay, Arnaud Gloppe, Vincent Jacques, Olivier Arcizet
- article
- Nature Communications, 2015, 6, pp.8603. ⟨10.1038/ncomms9603⟩
- Accès au texte intégral et bibtex
-
- titre
- Witnessing causal nonseparability
- auteur
- Mateus Araújo, Cyril Branciard, Fabio Costa, Adrien Feix, Christina Giarmatzi, Časlav Brukner
- article
- New Journal of Physics, 2015, 17, pp.102001. ⟨10.1088/1367-2630/17/10/102001⟩
- Accès au bibtex
-
- titre
- Cavity-enhanced two-photon interference using remote quantum dot sources
- auteur
- V. Giesz, S. L. Portalupi, T. Grange, C. Anton, L. de Santis, J. Demory, N. Somaschi, I. Sagnes, A. Lemaître, L. Lanco, Alexia Auffèves, P. Senellart
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 92 (16), pp.161302. ⟨10.1103/PhysRevB.92.161302⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-band diagram configuration of Al2O3/oxygen-terminated p-diamond metal-oxide-semiconductor
- auteur
- Aurélien Maréchal, Manuela Aoukar, Christophe Vallee, Chloé Rivière, David Eon, Julien Pernot, Etienne Gheeraert
- article
- Applied Physics Letters, 2015, 107 (14), pp.141601. ⟨10.1063/1.4931123⟩
- Accès au bibtex
-
- titre
- Study of high-quality superconducting FeSe single crystals: crossover in electronic transport from a metallic to an activated regime above 350 K
- auteur
- S. Karlsson, P. Strobel, A. Sulpice, C. Marcenat, M. Legendre, F. Gay, S. Pairis, O. Leynaud, Pierre Toulemonde
- article
- Superconductor Science and Technology, 2015, 28 (10), pp.105009. ⟨10.1088/0953-2048/28/10/105009⟩
- Accès au bibtex
-
- titre
- Investigation of Photovoltaic Properties of Single Core–Shell GaN/InGaN Wires
- auteur
- A. Messanvi, H. Zhang, V. Neplokh, F. H. Julien, F. Bayle, M. Foldyna, C. Bougerol, E. Gautier, A. Babichev, C. Durand, J. Eymery, M. Tchernycheva
- article
- ACS Applied Materials & Interfaces, 2015, 7 (39), pp.21898-21906. ⟨10.1021/acsami.5b06473⟩
- Accès au bibtex
-
- titre
- Single-photon decision maker
- auteur
- Makoto Naruse, Martin Berthel, Aurelien Drezet, Serge Huant, Masashi Aono, Hirokazu Hori, Song-Ju Kim
- article
- Scientific Reports, 2015, 5, pp.13253. ⟨10.1038/srep13253⟩
- Accès au bibtex
-
- titre
- Evidence for Nb 2+ and Ta 3+ in silicate melts under highly reducing conditions: A XANES study
- auteur
- Camille Cartier, Tahar Hammouda, Maud Boyet, Olivier Mathon, Denis Testemale, Bertrand N. Moine
- article
- The American Mineralogist, 2015, 100 (10), pp.2152 - 2158. ⟨10.2138/am-2015-5330⟩
- Accès au bibtex
-
- titre
- Mesoscopic correlations in Tb2Ti2O7 spin liquid
- auteur
- S. Guitteny, I. Mirebeau, P. Dalmas de Réotier, C. V. Colin, P. Bonville, F. Porcher, B. Grenier, C. Decorse, S. Petit
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 92 (14), pp.144412. ⟨10.1103/PhysRevB.92.144412⟩
- Accès au bibtex
-
- titre
- Impact of Severe Plastic Deformation on the stability of MgH 2
- auteur
- Patricia de Rango, N. Skryabina, N. Medvedeva, A. Gabov, D. Fruchart, S. Nachev
- article
- Journal of Alloys and Compounds, 2015, 645 (Suppl. 1), pp.S14 - S17. ⟨10.1016/j.jallcom.2015.03.128⟩
- Accès au bibtex
-
- titre
- Highly directive and Gaussian far-field emission from “giant” photonic trumpets
- auteur
- Petr Stepanov, Adrien Delga, Niels Gregersen, Emanuel Peinke, Mathieu Munsch, Jean Teissier, Jesper Mørk, Maxime Richard, Joël Bleuse, Jean-Michel Gérard, Julien Claudon
- article
- Applied Physics Letters, 2015, 107 (14), pp.141106. ⟨10.1063/1.4932574⟩
- Accès au bibtex
-
- titre
- Si Donor Incorporation in GaN Nanowires
- auteur
- Zhihua Fang, Eric Robin, Elena Rozas-Jiménez, Ana Cros, Fabrice Donatini, Nicolas Mollard, Julien Pernot, Bruno Daudin
- article
- Nano Letters, 2015, 15 (10), pp.6794-6801. ⟨10.1021/acs.nanolett.5b02634⟩
- Accès au bibtex
-
- titre
- Nonpolar m-plane GaN/AlGaN heterostructures with intersubband transitions in the 5-10 THz band.
- auteur
- C B Lim, A Ajay, C. Bougerol, Benedikt Haas, J Schörmann, M Beeler, J Lähnemann, M Eickhoff, E Monroy
- article
- Nanotechnology, 2015, 26 (43), pp.435201. ⟨10.1088/0957-4484/26/43/435201⟩
- Accès au bibtex
-
- titre
- Superior effect of Ni-substitution on the hydrogenation kinetics of Mg6Pd1-xTMx (TM = Ag, Cu, Ni) pseudo-binary compounds
- auteur
- M Ponthieu, J.F. Fernandez, F Cuevas, L Laversenne, J Bodega, J.R. Ares, Carlos Sanchez
- article
- Journal of Alloys and Compounds, 2015, 645, pp.S334-S337. ⟨10.1016/j.jallcom.2014.12.146⟩
- Accès au bibtex
-
- titre
- A Noachian source region for the “Black Beauty” meteorite, and a source lithology for Mars surface hydrated dust?
- auteur
- P. Beck, A. Pommerol, B. Zanda, L. Remusat, J.P. Lorand, C. Göpel, R. Hewins, S. Pont, E. Lewin, E. Quirico, B. Schmitt, G. Montes-Hernandez, A. Garenne, L. Bonal, O. Proux, J.L. Hazemann, V.F. Chevrier
- article
- Earth and Planetary Science Letters, 2015, 427, pp.104-111. ⟨10.1016/j.epsl.2015.06.033⟩
- Accès au bibtex
-
- titre
- The influence of milling and annealing conditions on the structural and magnetic behavior of Nd 2 Fe 14 B/α-Fe hard/soft magnetic nanocomposites
- auteur
- Simona Gutoiu, Olivier Isnard, Ionel Chicinaş, Florin Pop, Albert Takacs, Viorel Pop
- article
- Journal of Alloys and Compounds, 2015, 646, pp.859 - 865. ⟨10.1016/j.jallcom.2015.06.174⟩
- Accès au bibtex
-
- titre
- Atomic-like spin noise in solid-state demonstrated with manganese in cadmium telluride
- auteur
- Steeve Cronenberger, Denis Scalbert, David Ferrand, Hervé Boukari, Joël Cibert
- article
- Nature Communications, 2015, 6, pp.8121. ⟨10.1038/ncomms9121⟩
- Accès au texte intégral et bibtex
-
- titre
- Phonons in the multiferroic langasite Ba3NbFe3Si2O14 : evidences for symmetry breaking
- auteur
- C. Toulouse, M. Cazayous, Sophie de Brion, Florence Lévy-Bertrand, H. Barkaoui, Pascal Lejay, Laura Chaix, Marie-Bernadette Lepetit, J. B. Brubach, Pascal Roy
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 92 (10), pp.104302. ⟨10.1103/PhysRevB.92.104302⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherence and aberration effects in surface plasmon polariton imaging
- auteur
- Martin Berthel, Quanbo Jiang, Camille Chartrand, Joël Bellessa, Serge Huant, Cyriaque Genet, Aurelien Drezet
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2015, 92 (3), pp.033202. ⟨10.1103/PhysRevE.92.033202⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic order of Tb3Co2.2Si1.8 and Dy3Co2.2Si1.8 as a representative of the family of compounds with orthorhombic distortion of rare earth lattice
- auteur
- A.V. Morozkin, O. Isnard, R. Nirmala, S.K. Malik
- article
- Journal of Magnetism and Magnetic Materials, 2015, 389, pp.157 - 168. ⟨10.1016/j.jmmm.2015.04.060⟩
- Accès au bibtex
-
- titre
- High pressure single crystal x-ray and neutron powder diffraction study of the ferroelectric-paraelectric phase transition in PbTiO3
- auteur
- Ali Al-Zein, Pierre Bouvier, A. Kania, Claire Levelut, Bernard Hehlen, Vivian Nassif, Thomas C Hansen, Pierre Fertey, Julien Haines, Jerome Rouquette
- article
- Journal of Physics D: Applied Physics, 2015, 48 (50), pp.504008. ⟨10.1088/0022-3727/48/50/504008⟩
- Accès au bibtex
-
- titre
- Cross over between ferro and antiferromagnetic order in Fe itinerant electron magnetism: An experimental and theoretical study of the model (Hf,Ta)Fe 2 Laves phases
- auteur
- L.V.B. Diop, D. Benea, S. Mankovsky, O. Isnard
- article
- Journal of Alloys and Compounds, 2015, 643, pp.239 - 246. ⟨10.1016/j.jallcom.2015.04.134⟩
- Accès au bibtex
-
- titre
- Bright Phonon-Tuned Single-Photon Source
- auteur
- Simone Luca Portalupi, Gaston Hornecker, Valérian Giesz, Thomas Grange, Aristide Lemaître, Justin Demory, Isabelle Sagnes, Norberto D. Lanzillotti-Kimura, Loïc Lanco, Alexia Auffèves, Pascale Senellart
- article
- Nano Letters, 2015, 15 (10), pp.6290-6294. ⟨10.1021/acs.nanolett.5b00876⟩
- Accès au bibtex
-
- titre
- Influence of transition metal doping (Fe, Co, Ni and Cr) on magnetic and magnetocaloric properties of Pr0.7Ca0.3MnO3 manganites
- auteur
- A. Selmi, Rafik M'Nassri, W. Cheikhrouhou, Nassira Boudjada, A. Cheikhrouhou
- article
- Ceramics International, 2015, 41 (8), pp.10177 - 10184. ⟨10.1016/j.ceramint.2015.04.123⟩
- Accès au bibtex
-
- titre
- Thermal stability of ferroelectric domain gratings in Rb-doped KTP
- auteur
- Gustav Lindgren, Alexandra Pena Revellez, Andrius Zakauskas, Charlotte Liljestrand, Bertrand Ménaert, Benoit Boulanger, Carlota Canalias
- article
- Applied Physics Letters, 2015, 107 (8), pp.082906. ⟨10.1063/1.4929817⟩
- Accès au bibtex
-
- titre
- Optically-Triggered Nanoscale Memory Effect in a Hybrid Plasmonic-Phase Changing Nanostructure
- auteur
- Dang Yuan Lei, Kannatassen Appavoo, Filip Ligmajer, Yannick Sonnefraud, Richard Haglund, Stefan Maier
- article
- ACS photonics, 2015, 2 (9), pp.1306 - 1313. ⟨10.1021/acsphotonics.5b00249⟩
- Accès au bibtex
-
- titre
- High-power, widely tunable, room-temperature picosecond optical parametric oscillator based on cylindrical 5%MgO:PPLN
- auteur
- S. Chaitanya Kumar, Junxiong Wei, J. Debray, Vincent Kemlin, B. Boulanger, Hideki Ishizuki, T. Taira, M. Ebrahim-Zadeh
- article
- Optics Letters, 2015, 40 (16), pp.3897-3900. ⟨10.1364/OL.40.003897⟩
- Accès au bibtex
-
- titre
- Strain-induced coherent dynamics of coupled carriers and Mn spins in a quantum dot
- auteur
- Alban Lafuente-Sampietro, Hervé Boukari, Lucien Besombes
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 92 (8), pp.081305(R). ⟨10.1103/PhysRevB.92.081305⟩
- Accès au bibtex
-
- titre
- Synthesis of disulfide-based biodegradable bridged silsesquioxane nanoparticles for twophoton imaging and therapy of cancer cells
- auteur
- Jonas G. Croissant, Chiara Mauriello-Jimenez, Marie Maynadier, Xavier Cattoën, Michel Wong Chi Man, Laurence Raehm, Olivier Mongin, Mireille Blanchard-Desce, Marcel Garcia, Magali Gary-Bobo, Philippe Maillard, Jean-Olivier Durand
- article
- Chemical Communications, 2015, 51 (61), pp.12324--12327. ⟨10.1039/c5cc03736k⟩
- Accès au bibtex
-
- titre
- The experience of the Voyage dans le cristal travelling museum exhibition
- auteur
- Jean-Louis Hodeau, René Guinebretière
- article
- Journal of Applied Crystallography, 2015, 48 (4), pp.1276 - 1289. ⟨10.1107/s160057671501064x⟩
- Accès au bibtex
-
- titre
- Imaging At the Timescale Of Micro- and Milliseconds With the pnCCD (S)TEM Camera
- auteur
- H. Ryll, M. Simson, M. den Hertog, R. Dunin-Borkowski, Khalil El Hajraoui, R. Hartmann, M. Huth, S. Ihle, V. Migunov, J. Schmidt, H. Soltau, L. Striider
- article
- Microscopy and Microanalysis, 2015, 21 (Suppl. S3), pp.1585 - 1586. ⟨10.1017/S1431927615008703⟩
- Accès au bibtex
-
- titre
- Polariton lasing in high-quality selenide-based micropillars in the strong coupling regime
- auteur
- T. Klein, S. Klembt, Emilien Durupt, C. Kruse, D. Hommel, Maxime Richard
- article
- Applied Physics Letters, 2015, 107 (7), pp.071101. ⟨10.1063/1.4928492⟩
- Accès au bibtex
-
- titre
- Engineering the Phase Front of Light with Phase-Change Material Based Planar lenses
- auteur
- Yiguo Chen, Xiong Li, Yannick Sonnefraud, Antonio Fernández-Domínguez, Xiangang Luo, Minghui Hong, Stefan Maier
- article
- Scientific Reports, 2015, 5, pp.8660. ⟨10.1038/srep08660⟩
- Accès au bibtex
-
- titre
- 3D-Ising ferromagnetic characteristics and magnetocaloric study in Pr0.4Eu0.2Sr0.4MnO3 manganite
- auteur
- Rafik M'Nassri, R. M’nassri, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Alloys and Compounds, 2015, 640, pp.183 - 192. ⟨10.1016/j.jallcom.2015.03.220⟩
- Accès au bibtex
-
- titre
- Proximity effect on hydrodynamic interaction between a sphere and a plane measured by force feedback microscopy at different frequencies
- auteur
- Simon Carpentier, Mario S. Rodrigues, Elisabeth Charlaix, Joel Chevrier
- article
- Applied Physics Letters, 2015, 107 (4), pp.044101. ⟨10.1063/1.4927654⟩
- Accès au bibtex
-
- titre
- Formation of Mercury Sulfide from Hg(II)−Thiolate Complexes in Natural Organic Matter
- auteur
- Alain Manceau, Cyprien Lemouchi, Mironel Enescu, Anne-Claire Gaillot, Martine Lanson, Valérie Magnin, Pieter Glatzel, Brett A. Poulin, Joseph N. Ryan, George R. Aiken, Isabelle Gautier-Luneau, Kathryn L. Nagy
- article
- Environmental Science and Technology, 2015, 49 (16), pp.9787. ⟨10.1021/acs.est.5b02522⟩
- Accès au bibtex
-
- titre
- Electrical Conduction and Percolation Model in Pr0.6Ca0.1Sr0.3Mn1−xFe x O3 (x = 0, 0.05, and 0.075) Manganites
- auteur
- Saoussen Mahjoub, Mohamed Baazaoui, Rafik M'Nassri, Nassira Chniba Boudjada, Mohamed Oumezzine
- article
- Journal of Superconductivity and Novel Magnetism, 2015, 28 (7), pp.1905 - 1911. ⟨10.1007/s10948-015-3065-y⟩
- Accès au bibtex
-
- titre
- Crystal Structure and Magnetic Properties of New Cubic Quaternary Compounds RT2Sn2Zn18 (R = La, Ce, Pr, and Nd, and T = Co and Fe)
- auteur
- Yosikazu Isikawa, Toshio Mizushima, Jun-Ichi Ejiri, Shiori Kitayama, Keigou Kumagai, Tomohiko Kuwai, Pierre Bordet, Pascal Lejay
- article
- Journal of the Physical Society of Japan, 2015, 84 (7), pp.074707. ⟨10.7566/JPSJ.84.074707⟩
- Accès au bibtex
-
- titre
- Palladium complexation in chloride- and bisulfide-rich fluids: Insights from ab initio molecular dynamics simulations and X-ray absorption spectroscopy
- auteur
- Yuan Mei, Barbara Etschmann, Weihua Liu, David M. Sherman, Stephen J. Barnes, Marco L. Fiorentini, Terry M. Seward, Denis Testemale, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2015, 161, pp.128 - 145. ⟨10.1016/j.gca.2015.04.009⟩
- Accès au bibtex
-
- titre
- Magnetic refrigeration: recent developments and alternative configurations
- auteur
- Morgan Almanza, Afef Kedous-Lebouc, Jean-Paul Yonnet, Ulrich Legait, Julien Roudaut
- article
- European Physical Journal: Applied Physics, 2015, 71 (1), pp.10903. ⟨10.1051/epjap/2015150065⟩
- Accès au texte intégral et bibtex
-
- titre
- Giant resonance tuning of micro and nanomechanical oscillators
- auteur
- Miguel Vitorino, Simon Carpentier, Alain Panzarella, Mário S. Rodrigues, Luca Costa
- article
- Scientific Reports, 2015, 5, pp.7818. ⟨10.1038/srep07818⟩
- Accès au texte intégral et bibtex
-
- titre
- The effect of Co doping on the magnetic and magnetocaloric properties of Pr0.7Ca0.3Mn1−xCoxO3 manganites
- auteur
- Rafik M'Nassri, A. Selmi, W. Cheikhrouhou, Nassira Chniba Boudjada, A. Cheikhrouhou
- article
- Ceramics International, 2015, 41 (6), pp.7723 - 7728. ⟨10.1016/j.ceramint.2015.02.103⟩
- Accès au bibtex
-
- titre
- Intersubband transitions in nonpolar GaN/Al(Ga)N heterostructures in the short- and mid-wavelength infrared regions
- auteur
- C. B. Lim, M. Beeler, A. Ajay, J. Lähnemann, E. Bellet-Amalric, C. Bougerol, E. Monroy
- article
- Journal of Applied Physics, 2015, 118 (1), pp.014309. ⟨10.1063/1.4926423⟩
- Accès au bibtex
-
- titre
- Single and multiple micro-particle trapping using non-Gaussian beams from optical fiber nano-antennas
- auteur
- Jean-Baptiste Decombe, Samir K. Mondal, Dharmadas Kumbhakar, Sudipta Sarkar Pal, Jochen Fick
- article
- IEEE Journal of Selected Topics in Quantum Electronics, 2015, 21 (4), pp.4500106. ⟨10.1109/JSTQE.2014.2358194⟩
- Accès au bibtex
-
- titre
- Magnetic Behaviour of the Ce-Co-Mn Intermetallics of MgCu 2 Structure Type
- auteur
- R. Dudric, A. Pop, O. Isnard, V. Pop, M. Coldea
- article
- Acta Physica Polonica A, 2015, 128 (1), pp.67 - 71. ⟨10.12693/APhysPolA.128.67⟩
- Accès au bibtex
-
- titre
- Electromigration-induced failure in operando characterization of 3D interconnects: microstructure influence
- auteur
- Simon Gousseau, Stéphane Moreau, David Bouchu, Alexis Farcy, Pierre Montmitonnet, Karim Inal, François Bay, Marc Zelsmann, Emmanuel Picard, Mathieu Salaun
- article
- Microelectronics Reliability, 2015, 55 (8), pp.1205-1213. ⟨10.1016/j.microrel.2015.05.019⟩
- Accès au bibtex
-
- titre
- Correlation between microstructural and mechanical behavior of nanostructured MgH2 upon hydrogen cycling
- auteur
- Simeon Nachev, Patricia de Rango, Daniel Fruchart, Nataliya Skryabina, Philippe Marty
- article
- Journal of Alloys and Compounds, 2015, 645 (Suppl. 1), pp.S434-S437. ⟨10.1016/j.jallcom.2014.12.088⟩
- Accès au bibtex
-
- titre
- The influence of AlN buffer over the polarity and the nucleation of self-organized GaN nanowires
- auteur
- Thomas Auzelle, Benedikt Haas, Albert Minj, Catherine Bougerol, Jean-Luc Rouviere, Ana Cros, Jaime Colchero, Bruno Daudin
- article
- Journal of Applied Physics, 2015, 117 (24), pp.245303. ⟨10.1063/1.4923024⟩
- Accès au bibtex
-
- titre
- Theory for STM images of resonances in the near-field regime: application to adsorbates and local defects on graphene
- auteur
- Omid Faizy Namarvar, Didier Mayou
- article
- Journal of Physics: Condensed Matter, 2015, 27 (24), pp.245502. ⟨10.1088/0953-8984/27/24/245502⟩
- Accès au bibtex
-
- titre
- Des photons à la Demande
- auteur
- Benoit Boulanger, Sara Ducci, Jean-Michel Gérard
- article
- La Recherche, 2015, La lumière, Hors-série (14), pp.27-32
- Accès au bibtex
-
- titre
- Anisotropy-Tuned Magnetic Order in Pyrochlore Iridates
- auteur
- Emilie Lefrançois, Virginie Simonet, Rafik Ballou, Elsa Lhotel, Abdellali Hadj-Azzem, Stéphanie Kodjikian, Pascal Lejay, Pascal Manuel, Dmitry Khalyavin, Laurent C. Chapon
- article
- Physical Review Letters, 2015, 114 (24), pp.247202. ⟨10.1103/PhysRevLett.114.247202⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of Fermi velocity engineering on electronic and optical properties of graphene superlattices
- auteur
- Tahereh Nematiaram, Asghar Asgari
- article
- Physics Letters A, 2015, 379 (12-13), pp.974 - 978. ⟨10.1016/j.physleta.2015.01.019⟩
- Accès au bibtex
-
- titre
- Noncollinearity of the canted spins across ultrathin Fe films on vicinal Ag surfaces
- auteur
- Emmanuelle Jal, M. Dąbrowski, J.-M. Tonnerre, M. Przybylski, S. Grenier, N. Jaouen, J. Kirschner
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 91 (21), pp.214418. ⟨10.1103/PhysRevB.91.214418⟩
- Accès au bibtex
-
- titre
- Single photon emitters in exfoliated WSe2 structures
- auteur
- M. Koperski, K. Nogajewski, A. Arora, V. Cherkez, P. Mallet, J.-Y. Veuillen, J. Marcus, P. Kossacki, M. Potemski
- article
- Nature Nanotechnology, 2015, 10 (6), pp.503 - 506. ⟨10.1038/NNANO.2015.67⟩
- Accès au bibtex
-
- titre
- Critical behavior and the universal curve for magnetocaloric effect in Pr0.6Ca0.1Sr0.3Mn1−xFexO3 (x=0, 0.05 and 0.075) manganites
- auteur
- Saoussen Mahjoub, Mohamed Baazaoui, Rafik M'Nassri, Nassira Boudjada, Mohamed Oumezzine
- article
- Journal of Alloys and Compounds, 2015, 633, pp.207 - 215. ⟨10.1016/j.jallcom.2015.02.011⟩
- Accès au bibtex
-
- titre
- Preparation of cellulose II and IIII films by allomorphic conversion of bacterial cellulose I pellicles
- auteur
- Paula C.S. Faria-Tischer, Cesar Tischer, Laurent Heux, Simon Le Denmat, Catherine Picart, Maria-R. Sierakowski, Jean-Luc Putaux
- article
- Materials Science and Engineering: C, 2015, 51, pp.167-173. ⟨10.1016/j.msec.2015.02.025⟩
- Accès au bibtex
-
- titre
- Pressure-induced phase transition in MnCO3 and its implications on the deep carbon cycle
- auteur
- Eglantine Boulard, Alexander F. Goncharov, Marc Blanchard, Wendy L. Mao
- article
- Journal of Geophysical Research, 2015, 120 (6), pp.4069-4079. ⟨10.1002/2015JB011901⟩
- Accès au bibtex
-
- titre
- Spin-glass-like behavior and negative thermal expansion in antiperovskite Mn3Ni1−xCuxN compounds
- auteur
- Lei Ding, Cong Wang, Ying Sun, Claire V. Colin, Lihua Chu
- article
- Journal of Applied Physics, 2015, 117 (21), pp.213915. ⟨10.1063/1.4921537⟩
- Accès au bibtex
-
- titre
- Controlled multiple functionalization of mesoporous silica nanoparticles: homogeneous implementation of pairs of functionalities communicating through energy or proton transfers
- auteur
- Achraf Noureddine, Laure Lichon, Marie Maynadier, Marcel Garcia, Magali Gary-Bobo, J.I. Zink, Xavier Cattoën, Michel Wong Chi Man
- article
- Nanoscale, 2015, 7 (26), pp.11444-11452. ⟨10.1039/c5nr02620b⟩
- Accès au bibtex
-
- titre
- Electrical activity of (100) n-type diamond with full donor site incorporation of phosphorus
- auteur
- Marie-Amandine Pinault-Thaury, Ingrid Stenger, François Jomard, Jacques Chevallier, Julien Barjon, Aboulaye Traoré, David Eon, Julien Pernot
- article
- physica status solidi (a), 2015, 212 (11), pp.2454-2459. ⟨10.1002/pssa.201532206⟩
- Accès au bibtex
-
- titre
- Cavity-Funneled Generation of Indistinguishable Single Photons from Strongly Dissipative Quantum Emitters
- auteur
- Thomas Grange, Gaston Hornecker, David Hunger, Jean-Philippe Poizat, Jean-Michel Gérard, Pascale Senellart, Alexia Auffèves
- article
- Physical Review Letters, 2015, 114 (19), pp.193601. ⟨10.1103/PhysRevLett.114.193601⟩
- Accès au texte intégral et bibtex
-
- titre
- Reversible work extraction in a hybrid opto-mechanical system
- auteur
- Cyril Elouard, Maxime Richard, Alexia Auffèves
- article
- New Journal of Physics, 2015, 17, pp.055018. ⟨10.1088/1367-2630/17/5/055018⟩
- Accès au bibtex
-
- titre
- Toward a new generation of white phosphors for solid state lighting using glassy yttrium aluminoborates
- auteur
- Vinicius Guimaraes, Lauro June Queiroz Maia, Isabelle Gautier-Luneau, Christophe Bouchard, Antônio Carlos Hernandez-Rodriguez, Fabrice Thomas, Alban Ferrier, Bruno Viana, Alain Ibanez
- article
- Journal of Materials Chemistry C, 2015, 3 (22), pp.5795-5802. ⟨10.1039/C5TC00237K⟩
- Accès au bibtex
-
- titre
- Ferromagnetism in layered metastable 1 T -CrTe 2
- auteur
- Daniele Freitas, Ruben Weht, André Sulpice, Gyorgy Remenyi, Pierre Strobel, Frédéric Gay, Jacques Marcus, Manuel Nunez-Regueiro
- article
- Journal of Physics: Condensed Matter, 2015, 27 (17), pp.176002. ⟨10.1088/0953-8984/27/17/176002⟩
- Accès au bibtex
-
- titre
- Exciton-Polariton Gas as a Nonequilibrium Coolant
- auteur
- Sebastian Klembt, Emilien Durupt, Sanjoy Datta, Thorsten Klein, Augustin Baas, Yoan Léger, Carsten Kruse, Detlef Hommel, Anna Minguzzi, Maxime Richard
- article
- Physical Review Letters, 2015, 114 (18), pp.186403. ⟨10.1103/PhysRevLett.114.186403⟩
- Accès au texte intégral et bibtex
-
- titre
- Classical selection and quantum Darwinism
- auteur
- Alexia Auffèves, Philippe Grangier
- article
- Physics today, 2015, 68 (5), pp.8. ⟨10.1063/PT.3.2759⟩
- Accès au bibtex
-
- titre
- Mo2NiB2-type {Gd, Tb, Dy)2Ni2.35Si0.65 and La2Ni3-type {Dy, Ho}2Ni2.5Si0.5 compounds: Crystal structure and magnetic properties
- auteur
- A.V. Morozkin, O. Isnard, R. Nirmala, S.K. Malik
- article
- Journal of Solid State Chemistry, 2015, 225, pp.368 - 377. ⟨10.1016/j.jssc.2015.01.017⟩
- Accès au bibtex
-
- titre
- A high-pressure high-temperature setup for in situ Raman spectroscopy of supercritical fluids
- auteur
- Marion Louvel, Amélie Bordage, Cécile da Silva-Cadoux, Denis Testemale, Eric Lahera, William del Net, Olivier Geaymond, Jean Dubessy, Roger Argoud, Jean-Louis Hazemann
- article
- Journal of Molecular Liquids, 2015, 205 (10), pp.54-60. ⟨10.1016/j.molliq.2014.09.032⟩
- Accès au bibtex
-
- titre
- Self-aligned deterministic coupling of single quantum emitter to nanofocused plasmonic modes
- auteur
- Su-Hyun Gong, Je-Hyung Kim, Young-Ho Ko, Christophe Rodriguez, Jonghwa Shin, Yong-Hee Lee, Le Si Dang, Xiang Zhang, Yong-Hoon Cho
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2015, 112 (17), pp.5280 - 5285. ⟨10.1073/pnas.1418049112⟩
- Accès au bibtex
-
- titre
- Optical control of the spin of a magnetic atom in a semiconductor quantum dot
- auteur
- Lucien Besombes, Hervé Boukari, Claire Le Gall, Adalberto Brunetti, Chong Long Cao, Ségolène Jamet, Bobin Varghese
- article
- Nanophotonics, 2015, 4 (1), pp.75. ⟨10.1515/nanoph-2015-0003⟩
- Accès au texte intégral et bibtex
-
- titre
- Eu 3+ -Based Bridged Silsesquioxanes for Transparent Luminescent Solar Concentrators
- auteur
- Vânia. Freitas, Lianshe Fu, Ana M. Cojocariu, Xavier Cattoën, John Bartlett, Rozenn Le Parc, Jean-Louis Bantignies, Michel Wong Chi Man, Paulo S. André, Rute A.S. Ferreira, Luis Carlos
- article
- ACS Applied Materials & Interfaces, 2015, 7 (16), pp.8770-8778. ⟨10.1021/acsami.5b01281⟩
- Accès au bibtex
-
- titre
- Effect of CoO/Ni orthogonal exchange coupling on perpendicular anisotropy of Ni films on Pd(001)
- auteur
- P. Kuświk, P. L. Gastelois, M. Soares, H. C. N. Tolentino, M. de Santis, A. Y. Ramos, A. D. Lamirand, M. Przybylski, J. Kirschner
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 91 (13), pp.134413. ⟨10.1103/PhysRevB.91.134413⟩
- Accès au bibtex
-
- titre
- Porphyrin-functionalized mesoporous organosilica nanoparticles for two-photon imaging of cancer cells and drug delivery
- auteur
- Chiara Mauriello-Jimenez, Jonas Croissant, Marie Maynadier, Xavier Cattoën, Michel Wong Chi Man, Julien Vergnaud, Vincent Chaleix, Vincent Sol, Marcel Garcia, Magali Gary-Bobo, Laurence Raehm, Jean-Olivier Durand
- article
- Journal of Materials Chemistry B: Materials for Biology and Medicine, 2015, 3 (18), pp.3681-3684. ⟨10.1039/C5TB00315F⟩
- Accès au texte intégral et bibtex
-
- titre
- Copper( i ) targeting in the Alzheimer's disease context: a first example using the biocompatible PTA ligand
- auteur
- Elena Atrián-Blasco, E. Cerrada, Amandine Conte-Daban, D. Testemale, Peter Faller, M. Laguna, Christelle Hureau
- article
- Metallomics, 2015, 7 (8), pp.1229 - 1232. ⟨10.1039/c5mt00077g⟩
- Accès au bibtex
-
- titre
- High magnetic field study of the Dy2Fe17Hx compounds with x=0–3.8
- auteur
- O. Isnard, A.V. Andreev, O. Heczko, Y. Skourski
- article
- Journal of Alloys and Compounds, 2015, 627, pp.101 - 107. ⟨10.1016/j.jallcom.2014.12.030⟩
- Accès au bibtex
-
- titre
- Magnetic and magnetocaloric properties of itinerant-electron system Hf1−xTaxFe2 (x=0.125 and 0.175)
- auteur
- L.V.B. Diop, J. Kastil, O. Isnard, Z. Arnold, J. Kamarád
- article
- Journal of Alloys and Compounds, 2015, 627, pp.446 - 450. ⟨10.1016/j.jallcom.2014.11.234⟩
- Accès au bibtex
-
- titre
- High-power green and blue electron-beam pumped surface-emitting lasers using dielectric and epitaxial distributed Bragg reflectors
- auteur
- T. Klein, S. Klembt, V. Kozlovsky, A. Zheng, M. Tiberi, C. Kruse
- article
- Journal of Applied Physics, 2015, 117 (11), pp.113106. ⟨10.1063/1.4915625⟩
- Accès au bibtex
-
- titre
- Structures, Thermal Behaviors, and Luminescent Properties of Anhydrous Lanthanum Iodate Polymorphs
- auteur
- Mohamed Taouti, Yan Suffren, Olivier Leynaud, Djamal Benbertal, Alain Brenier, Isabelle Gautier-Luneau
- article
- Inorganic Chemistry, 2015, 54 (7), pp.3608 - 3618. ⟨10.1021/acs.inorgchem.5b00187⟩
- Accès au bibtex
-
- titre
- Anisotropy analysis of third-harmonic generation in a germanium-doped silica optical fiber
- auteur
- Adrien Borne, Katsura Tomotaka, Corinne Felix, Benjamin Doppagne, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson, Benoit Boulanger
- article
- Optics Letters, 2015, 40 (6), pp.982. ⟨10.1364/OL.40.000982⟩
- Accès au bibtex
-
- titre
- Nanostructuring of Bridged Organosilane Precursors with Pendant Alkyl Chains
- auteur
- Silvia C Nunez, Kristýna Bürglová, Jana Hodačová, Rute A. S. Ferreira, Luis D. Carlos, Paulo Almeida, Xavier Cattoën, Michel Wong Chi Man, Verónica de Zea Bermudez
- article
- European Journal of Inorganic Chemistry, 2015, 2015 (7), pp.1218-1225. ⟨10.1002/ejic.201402673⟩
- Accès au bibtex
-
- titre
- Properties of boron-doped epitaxial diamond layers grown on (110) oriented single crystal substrates
- auteur
- Vincent Mortet, Julien Pernot, François Jomard, Ali Soltani, Z. Remes, Julien Barjon, J. d'Haen, Ken Haenen
- article
- Diamond and Related Materials, 2015, 53, pp.29-34. ⟨10.1016/j.diamond.2015.01.006⟩
- Accès au bibtex
-
- titre
- Structural characterization, thermal studies, vibrational and DFT investigation of the bis(8-hydroxyquinolinium)tetrachlorocadmate(II)
- auteur
- W. Amamou, N. Elleuch, H. Feki, Nassira Boudjada, F. Zouari
- article
- Journal of Molecular Structure, 2015, 1083, pp.168 - 174. ⟨10.1016/j.molstruc.2014.11.052⟩
- Accès au bibtex
-
- titre
- Neutron Diffraction Studies: Structure and Physical Properties of La2 O 3−x F x Fe2Se2
- auteur
- S. Landsgesell, K. Prokeš, C. V. Colin, D. Abou-Ras, N. Schäfer
- article
- Journal of Superconductivity and Novel Magnetism, 2015, 28 (3), pp.1111-1116. ⟨10.1007/s10948-014-2760-4⟩
- Accès au bibtex
-
- titre
- Anisotropy of conductivity in rare-earth tritellurides in the static and sliding states of the CDW
- auteur
- A. Sinchenko, P. Grigoriev, P. Lejay, O. Leynaud, P. Monceau
- article
- Physica B: Condensed Matter, 2015, Special Issue on Electronic Crystals (ECRYS-2014), 460, pp.21 - 25. ⟨10.1016/j.physb.2014.11.032⟩
- Accès au bibtex
-
- titre
- Impact of sintering temperature on the magnetic and magnetocaloric properties in Pr0.5Eu0.1Sr0.4MnO3 manganites
- auteur
- Rafik M'Nassri, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Alloys and Compounds, 2015, 626, pp.20 - 28. ⟨10.1016/j.jallcom.2014.11.141⟩
- Accès au bibtex
-
- titre
- Influence of Al on the magnetic properties of TmCo4Al compound, a magnetic and neutron diffraction study
- auteur
- A. Laslo, V. Pop, O. Isnard
- article
- Journal of Alloys and Compounds, 2015, 626, pp.70 - 75. ⟨10.1016/j.jallcom.2014.11.113⟩
- Accès au bibtex
-
- titre
- Structural, magnetic and thermal characterization of amorphous FINEMET powders prepared by wet mechanical alloying
- auteur
- B.V. Neamţu, T.F. Marinca, I. Chicinaş, O. Isnard
- article
- Journal of Alloys and Compounds, 2015, 626, pp.49 - 55. ⟨10.1016/j.jallcom.2014.11.158⟩
- Accès au bibtex
-
- titre
- Near-field microscopy with a scanning nitrogen-vacancy color center in a diamond nanocrystal: A brief review
- auteur
- A. Drezet, Y. Sonnefraud, Aurelien Cuche, O. Mollet, Martin Berthel, S. Huant
- article
- Micron, 2015, 70, pp.55 - 63. ⟨10.1016/j.micron.2014.12.004⟩
- Accès au bibtex
-
- titre
- Formation of quantum dots in the potential fluctuations of InGaAs heterostructures probed by scanning gate microscopy
- auteur
- Peng Liu, Frederico Martins, Benoit Hackens, L. Desplanque, Xavier Wallart, Marco Pala, Serge Huant, Vincent Bayot, Hermann Sellier
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 91 (7), pp.075313. ⟨10.1103/PhysRevB.91.075313⟩
- Accès au texte intégral et bibtex
-
- titre
- Measurements on the reality of the wavefunction
- auteur
- Martin Ringbauer, Benjamin Duffus, Cyril Branciard, Eric G. Cavalcanti, Andrew G White, Alessandro Fedrizzi
- article
- Nature Physics, 2015, 11 (3), pp.249-254. ⟨10.1038/nphys3233⟩
- Accès au bibtex
-
- titre
- The life and achievements of Erwin-Félix Lewy-Bertaut (1913–2003)
- auteur
- Gerard Ferey, Jean-Louis Hodeau
- article
- Physica Scripta, 2015, 90 (2), pp.028001. ⟨10.1088/0031-8949/90/2/028001⟩
- Accès au bibtex
-
- titre
- Magnetic order and crystal structure study of YNi4Si-type NdNi4Si
- auteur
- Jinlei Yao, O. Isnard, A.V. Morozkin, T.I. Ivanova, Yu.S. Koshkid׳ko, A.E. Bogdanov, S.A. Nikitin, W. Suski
- article
- Journal of Solid State Chemistry, 2015, 222, pp.123 - 128. ⟨10.1016/j.jssc.2014.11.016⟩
- Accès au bibtex
-
- titre
- Laboratory implementation of X-ray diffraction/scattering computed tomography
- auteur
- Sophie Cersoy, Olivier Leynaud, Michelle Alvarez-Murga, Pauline Martinetto, Pierre Bordet, Nathalie Boudet, Emilie Chalmin, Géraldine Castets, Jean Louis Hodeau
- article
- Journal of Applied Crystallography, 2015, 48 (1), pp.159-165. ⟨10.1107/S1600576714027204⟩
- Accès au bibtex
-
- titre
- Crystal structure, vibrational studies, optical properties and DFT calculations of 2-amino-5-diethyl-aminopentanium tetrachlorocadmate (II)
- auteur
- Yosra Baklouti, Najla N. Chaari, Habib Feki, Nassira Chniba-Boudjada, Fatma Zouari
- article
- Spectrochimica Acta Part A: Molecular and Biomolecular Spectroscopy [1994-..], 2015, 136, pp.397-404. ⟨10.1016/j.saa.2014.09.049⟩
- Accès au bibtex
-
- titre
- Magnetoplasmons in high electron mobility CdTe/CdMgTe quantum wells
- auteur
- I. Grigelionis, K. Nogajewski, G. Karczewski, T. Wojtowicz, M. Czapkiewicz, J. Wróbel, H. Boukari, H. Mariette, J. Łusakowski
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 91 (7), pp.075424. ⟨10.1103/PhysRevB.91.075424⟩
- Accès au bibtex
-
- titre
- Zinc complexation in chloride-rich hydrothermal fluids (25–600°C): A thermodynamic model derived from ab initio molecular dynamics
- auteur
- Yuan Mei, David M. Sherman, Weihua Liu, Barbara Etschmann, Denis Testemale, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2015, 150, pp.265 - 284. ⟨10.1016/j.gca.2014.09.023⟩
- Accès au bibtex
-
- titre
- Structural phase transitions of C60 under high-pressure and high-temperature
- auteur
- M. Alvarez-Murga, J.L. Hodeau
- article
- Carbon, 2015, 82, pp.381 - 407. ⟨10.1016/j.carbon.2014.10.083⟩
- Accès au bibtex
-
- titre
- Metallic core conduction in unintentionally doped ZnO nanowire
- auteur
- Andrés de Luna Bugallo, Fabrice Donatini, Corinne Sartel, Vincent Sallet, Julien Pernot
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2015, 8 (2), pp.025001. ⟨10.7567/APEX.8.025001⟩
- Accès au bibtex
-
- titre
- Influence of the spray pyrolysis seeding and growth parameters on the structure and optical properties of ZnO nanorod arrays
- auteur
- Juan Rodríguez, Guy Feuillet, Fabrice Donatini, Diego Onna, Luis Sanchez, Roberto Candal, M. Claudia Marchi, Sara A. Bilmes, Frédéric Chandezon
- article
- Materials Chemistry and Physics, 2015, 151, pp.378-384. ⟨10.1016/j.matchemphys.2014.12.013⟩
- Accès au bibtex
-
- titre
- Spin reorientation and magnetic structure of HoCo 12 B 6 ferrimagnetic compound
- auteur
- L. Diop, O. Isnard
- article
- Journal of Physics: Condensed Matter, 2015, 27 (2), pp.026004. ⟨10.1088/0953-8984/27/2/026004⟩
- Accès au bibtex
-
- titre
- Enhanced Two-Photon Fluorescence Imaging and Therapy of Cancer Cells via Gold@Bridged Silsesquioxane Nanoparticles
- auteur
- Jonas Croissant, Marie Maynadier, Olivier Mongin, Vincent Hugues, Mireille Blanchard-Desce, Arnaud Chaix, Xavier Cattoën, Michel Wong Chi Man, Audrey Gallud, Magali Gary-Bobo, Marcel Garcia, Laurence Raehm, Jean-Olivier Durand
- article
- Small, 2015, 11 (3), pp.295-299. ⟨10.1002/smll.201401759⟩
- Accès au bibtex
-
- titre
- Annealing effect on the magnetic induced austenite transformation in polycrystalline freestanding Ni-Co-Mn-In films produced by co-sputtering
- auteur
- Guillaume Crouigneau, Laureline Porcar, P. Courtois, Sébastien Pairis, Eric Mossang, Eric Eyraud, Daniel Bourgault
- article
- Journal of Applied Physics, 2015, 117 (3), pp.035302. ⟨10.1063/1.4906224⟩
- Accès au bibtex
-
- titre
- Strain driven monoclinic distortion of ultrathin CoO films in the exchange-coupled CoO/FePt/Pt(001) system
- auteur
- Anne Lamirand, Marcio Soares, Maurizio de Santis, Aline Y. Ramos, Stéphane Grenier, Hélio C. N. Tolentino
- article
- Journal of Physics: Condensed Matter, 2015, 27 (8), pp.085001. ⟨10.1088/0953-8984/27/8/085001⟩
- Accès au texte intégral et bibtex
-
- titre
- Spin orientation in an ultrathin CoO/PtFe double-layer with perpendicular exchange coupling
- auteur
- Anne Lamirand, Marcio Soares, Aline Y. Ramos, Hélio C. N. Tolentino, Maurizio de Santis, Julio C. Cezar, Abner de Siervo
- article
- Journal of Magnetism and Magnetic Materials, 2015, 373, pp.6-9. ⟨10.1016/j.jmmm.2014.02.039⟩
- Accès au texte intégral et bibtex
-
- titre
- 2D photonic-crystal optomechanical nanoresonator
- auteur
- K. Makles, T. Antoni, Aurélien Kuhn, S. Deléglise, T. Briant, P.-F. Cohadon, R. Braive, G. Beaudoin, L. Pinard, C. Michel, V. Dolique, R. Flaminio, G. Cagnoli, I. Robert-Philip, A. Heidmann
- article
- Optics Letters, 2015, 40 (2), pp.174-177. ⟨10.1364/OL.40.000174⟩
- Accès au texte intégral et bibtex
-
- titre
- One-Pot Construction of Multipodal Hybrid Periodic Mesoporous Organosilica Nanoparticles with Crystal-Like Architectures
- auteur
- Jonas Croissant, Xavier Cattoën, Michel Wong Chi Man, Philippe Dieudonné, Clarence Charnay, Laurence Raehm, Jean-Olivier Durand
- article
- Advanced Materials, 2015, 27 (1), pp.145-149. ⟨10.1002/adma.201404226⟩
- Accès au bibtex
-
- titre
- Coupling between an incommensurate antiferromagnetic structure and a soft ferromagnet in the archetype multiferroic BiFeO3/cobalt system
- auteur
- Marta Elzo, Reda Moubah, Camille Blouzon, Maurizio Sacchi, Stéphane Grenier, Rachid Belkhou, Sarnjeet Dhesi, Dorothée Colson, Felipe Torres, Miguel Kiwi, Michel Viret, Nicolas Jaouen
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 91 (1), pp.014402. ⟨10.1103/PhysRevB.91.014402⟩
- Accès au bibtex
-
- titre
- Microfabrication, characterization and in vivo MRI compatibility of diamond microelectrodes array for neural interfacing
- auteur
- Clément Hébert, Jan M. Warnking, Antoine Depaulis, Laurie-Amandine Garçon, David Eon, Pascal Mailley, Franck Omnès, Michel Mermoux
- article
- Materials Science and Engineering: C, 2015, 46, pp.25-31. ⟨10.1016/j.msec.2014.10.018⟩
- Accès au bibtex
-
- titre
- Structural, hyperfine and Raman properties of RE2FeSbO7 compounds
- auteur
- G. Berndt, K.L. Silva, F.F. Ivashita, A. Paesano, M.C. Blanco, E.V.P. Miner, R.E. Carbonio, S.M. Dantas, A.P. Ayala, O. Isnard
- article
- Journal of Alloys and Compounds, 2015, 618, pp.635 - 642. ⟨10.1016/j.jallcom.2014.08.068⟩
- Accès au bibtex
-
- titre
- Structural and magnetic characteristics of Co-based amorphous powders prepared by wet mechanical alloying
- auteur
- B.V. Neamţu, F. Marinca, I. Chicinaş, O. Isnard, F. Popa
- article
- Advanced Powder Technology, 2015, 26 (1), pp.323 - 328. ⟨10.1016/j.apt.2014.10.014⟩
- Accès au bibtex
-
- titre
- Effects of partial Mn-substitution on magnetic and magnetocaloric properties in Pr0.7Ca0.3Mn0.95X0.05O3 (Cr, Ni, Co and Fe) manganites
- auteur
- A. Selmi, R. M’nassri, W. Cheikhrouhou, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Alloys and Compounds, 2015, 619, pp.627 - 633. ⟨10.1016/j.jallcom.2014.09.078⟩
- Accès au bibtex
-
- titre
- Quantum oscillations and upper critical magnetic field of the iron-based superconductor FeSe
- auteur
- Alain Audouard, Fabienne Duc, Loïc Drigo, Pierre Toulemonde, Sandra Karlsson, Pierre Strobel, André Sulpice
- article
- EPL - Europhysics Letters, 2015, 102 (2), pp.27003. ⟨10.1209/0295-5075/109/27003⟩
- Accès au texte intégral et bibtex
-
- titre
- Iron reduction by the deep-sea bacterium Shewanella profunda LT13a under subsurface pressure and temperature conditions
- auteur
- Aude Picard, Denis Testemale, Laura Wagenknecht, Rachael Hazael, Isabelle Daniel
- article
- Frontiers in Microbiology, 2015, 5, pp.796. ⟨10.3389/fmicb.2014.00796⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence of Development of New Spin Orders Benefiting to Enhance Magnetic Properties in Co2+-Doped Delafossite-Type Oxide CuCrO2
- auteur
- T. Elkhouni, M. Amami, P. Strobel, A. Ben Salah
- article
- Journal of Superconductivity and Novel Magnetism, 2015, 28 (1), pp.1 - 8. ⟨10.1007/s10948-014-2842-3⟩
- Accès au bibtex
-
- titre
- Optical properties of single wurtzite/zinc-blende ZnSe nanowires grown at low temperature
- auteur
- V. Zannier, Thibault Cremel, Alberto Artioli, David Ferrand, Kuntheak Kheng, V. Grillo, S. Rubini
- article
- Journal of Applied Physics, 2015, 118 (9), pp.095702. ⟨10.1063/1.4929821⟩
- Accès au bibtex
-
- titre
- Establishing Efficient Cobalt-Based Catalytic Sites for Oxygen Evolution on a Ta3N5 Photocatalyst
- auteur
- E. Nurlaela, S. Ould-Chikh, I. Llorens, J. L. Hazemann, K. Takanabe
- article
- Chemistry of Materials, 2015, 27 (16), pp.5685-5694. ⟨10.1021/acs.chemmater.5b02139⟩
- Accès au bibtex
-
- titre
- A Solid-State Effect Responsible for an Organic Quintet State at Room Temperature and Ambient Pressure
- auteur
- Yonghao Zheng, Mao-Sheng Miao, Géraldine Dantelle, Nancy D. Eisenmeger, Guang Wu, Ilhan Yavuz, Mickael L. Chabinyc, Ken N Houk, Fred Wudl
- article
- Advanced Materials, 2015, 27 (10), pp.1718-1723. ⟨10.1002/adma.201405093⟩
- Accès au bibtex
-
- titre
- Adiabatic mode coupler on ion-exchanged waveguides for the efficient excitation of surface plasmon modes (Presentation Recording)
- auteur
- Josslyn Beltran Madrigal, Martin Berthel, Florent Gardillou, Ricardo Tellez-Limon, Denis Barbier, Aurelien Drezet, Rafael Salas-Montiel, Serge Huant, Sylvain Blaize, Wei Geng
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2015, Nanophotonic Materials XII, 9545, pp.95450Q. ⟨10.1117/12.2188304⟩
- Accès au bibtex
-
- titre
- Spontaneous Magneto-Impedance in YCo12B6 and GdCo12B6 Intermetallic Compounds
- auteur
- Fabiano Mesquita, Leopold V. B. Diop, Gilberto Fraga, Olivier Isnard, Paulo Pureur
- article
- IEEE Magnetics Letters, 2015, 6, pp.3800304. ⟨10.1109/LMAG.2015.2452896⟩
- Accès au bibtex
-
- titre
- Ferromagnetic resonance and magnetic damping in C-doped Mn5Ge3
- auteur
- Charles Emmanuel Dutoit, Voicu Dolocan, Michael Kuzmin, Lisa Michez, Matthieu Petit, Vinh Le Thanh, Benjamin Pigeau, Sylvain Bertaina
- article
- Journal of Physics D: Applied Physics, 2015, 49 (4), pp.045001. ⟨10.1088/0022-3727/49/4/045001⟩
- Accès au texte intégral et bibtex
-
- titre
- Disulfide-gated mesoporous silica nanoparticles designed for two-photon-triggered drug release and imaging
- auteur
- Jonas Croissant, Christian Qi, Olivier Mongin, Hugues Vincent, Mireille Blanchard-Desce, Laurence Raehm, Xavier Cattoën, M. Wong Chi Man, Marie Maynadier, Magali Gary-Bobo, Marcel Garcia, Jeffrey I. Zink, Jean-Olivier Durand
- article
- Journal of Materials Chemistry B: Materials for Biology and Medicine, 2015, 3 (31), pp.6456-6461. ⟨10.1039/c5tb00797f⟩
- Accès au bibtex
-
- titre
- Syntheses and applications of periodic mesoporous organosilica nanoparticles
- auteur
- Jonas G. Croissant, Xavier Cattoën, Michel Wong Chi Man, Jean-Olivier Durand, Niveen M. Khashab
- article
- Nanoscale, 2015, 7 (48), pp.20318-20334. ⟨10.1039/c5nr05649g⟩
- Accès au bibtex
-
- titre
- Fluctuations and All-In–All-Out Ordering in Dipole-Octupole Nd2Zr2O7
- auteur
- Elsa Lhotel, Sylvain Petit, Solène Guitteny, O. Florea, Monica Ciomaga Hatnean, Claire Colin, Eric Ressouche, M. R. Lees, G. Balakrishnan
- article
- Physical Review Letters, 2015, 115 (19), pp.197202. ⟨10.1103/PhysRevLett.115.197202⟩
- Accès au texte intégral et bibtex
-
- titre
- Temporal broadening of attosecond photoelectron wavepackets from solid surfaces
- auteur
- A. Okell, T. Witting, D. Fabris, A. Arrell, J. Hengster, S. Ibrahimkutty, A. Seiler, M. Barthelmess, S. Stankov, Y. Lei, Y. Sonnefraud, M. Rahmani, T. Uphues, S. Maier, J. Marangos, J. Tisch
- article
- Optica, 2015, 2 (4), pp.383-387. ⟨10.1364/optica.2.000383⟩
- Accès au bibtex
-
- titre
- Atomic arrangement at ZnTe/CdSe interfaces determined by high resolution scanning transmission electron microscopy and atom probe tomography
- auteur
- Bastien Bonef, Lionel Gérard, Jean-Luc Rouvière, Adeline Grenier, Pierre-Henri Jouneau, Edith Bellet-Amalric, Henri Mariette, Régis André, Catherine Bougerol
- article
- Applied Physics Letters, 2015, 106 (5), pp.051904. ⟨10.1063/1.4907648⟩
- Accès au texte intégral et bibtex
-
- titre
- Real-time monitoring of the crystal / amorphous transformation in the β-trehalose molecular compound
- auteur
- William Pagnoux, Pierre Bordet, Pauline Martinetto, Aleksei Bytchkov, Emeline Dudognon, Jean-François Willart, Marc Descamps
- article
- Acta Crystallographica Section A : Foundations and Advances [2014-..], 2015, 71 (Suppl.), pp.S69. ⟨10.1107/S2053273315098976⟩
- Accès au bibtex
-
- titre
- Effect of Milling Conditions on the Microstructure and Interphase Exchange Coupling of Nd2Fe14B/α-Fe Nanocomposites
- auteur
- Sever Mican, Răzvan Hirian, Olivier Isnard, Ionel Chicinaş, Viorel Pop
- article
- Physics Procedia, 2015, 75, pp.1314 - 1323. ⟨10.1016/j.phpro.2015.12.147⟩
- Accès au bibtex
-
- titre
- Soft graphoepitaxy for large area directed self-assembly of polystyrene-block-poly(dimethylsiloxane) block copolymer on nanopatterned POSS substrates fabricated by nanoimprint lithography
- auteur
- D. Borah, S. Rasappa, M. Salaün, M. Zelsmann, O. Lorret, G. Liontos, K. Ntetsikas, A. Avgeropoulos, M.L A. Morris
- article
- Advanced Functional Materials, 2015, 25 (22), pp.3425-3432. ⟨10.1002/adfm.201500100⟩
- Accès au bibtex
-
- titre
- Angle noncritical phase-matched second-harmonic generation in the monoclinic crystal BaGa 4 Se 7
- auteur
- Elodie Boursier, Patricia Segonds, Jérôme Debray, Patricia L Inácio, Vladimir Panyutin, Valeriy Badikov, Dmitrii Badikov, Valentin Petrov, Benoit Boulanger
- article
- Optics Letters, 2015, 40 (20), pp.4591-4594. ⟨10.1364/OL.40.004591⟩
- Accès au bibtex
-
- titre
- Band structure parameters of metallic diamond from angle-resolved photoemission spectroscopy
- auteur
- H. Guyot, P. Achatz, A Nicolaou, P Le Fèvre, F Bertran, A Taleb-Ibrahimi, E. Bustarret
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 92 (4), pp.045135. ⟨10.1103/PhysRevB.92.045135⟩
- Accès au texte intégral et bibtex
-
- titre
- Temporal shaping of single-photon pulses
- auteur
- Gaston Hornecker, Emanuel Peinke, Julien Claudon, Alexia Auffèves, Jean-Michel Gérard
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2015, Quantum optics and quantum information transfer and processing, 9505, pp.95050N. ⟨10.1117/12.2178991⟩
- Accès au bibtex
-
- titre
- Interfacing ion-exchanged waveguide for the efficient excitation of surface plasmons (Presentation Recording)
- auteur
- Josslyn Beltran Madrigal, Martin Berthel, Florent Gardillou, Ricardo Tellez-Limon, Christophe Couteau, Denis Barbier, Aurelien Drezet, Rafael Salas-Montiel, Serge Huant, Sylvain Blaize
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2015, Plasmonics: Metallic Nanostructures and Their Optical Properties XIII, 9547, pp.95471W. ⟨10.1117/12.2188124⟩
- Accès au bibtex
-
- titre
- Contrasting influence of charged impurities on transport and gain in terahertz quantum cascade lasers
- auteur
- Thomas Grange
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 92 (24), pp.241306. ⟨10.1103/PhysRevB.92.241306⟩
- Accès au texte intégral et bibtex
-
- titre
- Attribution of the 3.45 eV GaN nanowires luminescence to inversion domain boundaries
- auteur
- Thomas Auzelle, Benedikt Haas, Martien den Hertog, Jean-Luc Rouvière, Bruno Daudin, Bruno Gayral
- article
- Applied Physics Letters, 2015, 107 (5), pp.051904. ⟨10.1063/1.4927826⟩
- Accès au texte intégral et bibtex
-
- titre
- Abrupt Schottky Junctions in Al/Ge Nanowire Heterostructures
- auteur
- S. Kral, C. Zeiner, M. Stoeger-Pollach, E. Bertagnolli, Martien den Hertog, M. Lopez-Haro, Eric Robin, Khalil El Hajraoui, A. Lugstein
- article
- Nano Letters, 2015, 15 (7), pp.4783-4787. ⟨10.1021/acs.nanolett.5b01748⟩
- Accès au bibtex
-
- titre
- Temperature and high-pressure dependent x-ray absorption of SmNiO3 at the Ni K and Sm L3 edges
- auteur
- Nestor E. Massa, Aline Y. Ramos, Helio C.N. Tolentino, Jairo Fonseca, Narcizo M. Souza-Neto, Jose Antonio Alonso
- article
- Materials Research Express, 2015, 2 (12), pp.126301. ⟨10.1088/2053-1591/2/12/126301⟩
- Accès au bibtex
-
- titre
- Dynamics of Zn in an urban wetland soil–plant system: coupling isotopic and EXAFS approaches
- auteur
- Anne Marie Aucour, Jean-Philippe Bedell, Marine Queyron, Valérie Magnin, Denis Testemale, Geraldine Sarret
- article
- Geochimica et Cosmochimica Acta, 2015, 160, pp.55-69. ⟨10.1016/j.gca.2015.03.040⟩
- Accès au bibtex
-
- titre
- Tunable cavity coupling of the zero phonon line of a nitrogen-vacancy defect in diamond
- auteur
- Sam Johnson, Philip Dolan, Thomas Grange, Aurélien Trichet, Gaston Hornecker, Yu-Chen Chen, Laiyi Weng, Gareth Hughes, Andrew Watt, Alexia Auffèves, Jason Smith
- article
- New Journal of Physics, 2015, 17, pp.122003. ⟨10.1088/1367-2630/17/12/122003⟩
- Accès au bibtex
-
- titre
- VOx/SiO2 Catalyst Prepared by Grafting VOCl3 on Silica for Oxidative Dehydrogenation of Propane
- auteur
- Haibo Zhu, S. Ould-Chikh, Hailin Dong, Isabelle Llorens, Youssef Saih, Dalaver H. Anjum, Jean-Louis Hazemann, Jean-Marie Basset
- article
- ChemCatChem, 2015, 7 (20), pp.3332-3339. ⟨10.1002/cctc.201500607⟩
- Accès au bibtex
-
- titre
- Superconductivity in doped semiconductors
- auteur
- E. Bustarret
- article
- Physica C: Superconductivity and its Applications, 2015, 514, pp.36. ⟨10.1016/j.physc.2015.02.021⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexible Light-Emitting Diodes Based on Vertical Nitride Nanowires
- auteur
- Xing Dai, Agnes Messanvi, Hezhi Zhang, Christophe Durand, Joël Eymery, Catherine Bougerol, François H Julien, Maria Tchernycheva
- article
- Nano Letters, 2015, 15 (10), pp.6958-6964. ⟨10.1021/acs.nanolett.5b02900⟩
- Accès au texte intégral et bibtex
-
- titre
- Photophysics of single nitrogen-vacancy centers in diamond nanocrystals
- auteur
- Martin Berthel, Oriane Mollet, Géraldine Dantelle, Thierry Gacoin, Serge Huant, Aurélien Drezet
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 91 (3), pp.035308. ⟨10.1103/PhysRevB.91.035308⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and magnetic properties of the low-dimensional fluoride β-FeF3(H2O)2·H2O
- auteur
- Gwilherm Nenert, Oscar Fabelo, Kerstin Forsberg, Claire V. Colin, Juan Rodriguez-Carvajal
- article
- Dalton Transactions, 2015, 44 (31), pp.14130-14138. ⟨10.1039/C5DT02242H⟩
- Accès au bibtex
-
- titre
- Excitonic resonances in thin films of WSe 2 : from monolayer to bulk material
- auteur
- Ashish Arora, Maciej Koperski, Karol Nogajewski, Jacques Marcus, Clement Faugeras, Marek Potemski
- article
- Nanoscale, 2015, 7 (23), pp.10421-10429. ⟨10.1039/c5nr01536g⟩
- Accès au texte intégral et bibtex
-
- titre
- Self-organized ultrathin FePt nanowires produced by glancing-angle ion-beam codeposition on rippled alumina surfaces
- auteur
- Mathieu Garel, David Babonneau, Alexandre Boulle, Frédéric Pailloux, Alessandro Coati, Yves Garreau, Aline Y. Ramos, Helio Tolentino
- article
- Nanoscale, 2015, 7 (4), pp.1437-1445. ⟨10.1039/C4NR05589F⟩
- Accès au bibtex
-
- titre
- Influence of the synthetic method on the properties of two-photon-sensitive mesoporous organosilica nanoparticles
- auteur
- Jonas G. Croissant, Olivier Mongin, Vincent Hugues, Mireille Blanchard-Desce, Xavier Cattoën, Michel Wong Chi Man, Vanja Stojanovic, Clarence Charnay, Marie Maynadier, Magali Gary-Bobo, Marcel Garcia, Laurence Raehm, Jean-Olivier Durand
- article
- Journal of Materials Chemistry B: Materials for Biology and Medicine, 2015, 3 (26), pp.5182--5188. ⟨10.1039/c5tb00787a⟩
- Accès au texte intégral et bibtex
-
- titre
- TEM study of defects versus growth orientations in heavily boron-doped diamond
- auteur
- F Lloret, D Araujo, M.P. Alegre, J.M. Gonzalez-Leal, M.P. Villar, D. Eon, E. Bustarret
- article
- physica status solidi (a), 2015, 212 (11), pp.2468-2473. ⟨10.1002/pssa.201532175⟩
- Accès au texte intégral et bibtex
-
- titre
- Spontaneous shape transition of thin films into ZnO nanowires with high structural and optical quality
- auteur
- Sophie Guillemin, Eirini Sarigiannidou, Estelle Appert, Fabrice Donatini, Gilles Renou, Georges Bremond, Vincent Consonni
- article
- Nanoscale, 2015, 7 (40), pp.16994-17003. ⟨10.1039/c5nr04394h⟩
- Accès au bibtex
-
- titre
- Local structure studies using the pair distribution function
- auteur
- Pierre Bordet
- article
- EPJ Web of Conferences, 2015, 104, pp.01003. ⟨10.1051/epjconf/201510401003⟩
- Accès au bibtex
-
- titre
- Evidence of various mechanisms of Cd sequestration in the hyperaccumalator Arabidopsis halleri, the non accumulator Arabidopsis lyrata and their progenies by combined synchrotron-based techniques
- auteur
- M.-P. Isaure, Stéphanie Huguet, Claire-Lise Meyer, Hiram Castillo-Michel, Denis Testemale, Delphine D. Vantelon, Pierre Saumitou-Laprade, Nathalie Verbruggen, Geraldine Sarret
- article
- Journal of Experimental Botany, 2015, 66 (11), pp.3201-3214. ⟨10.1093/jxb/erv131⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Triple photons
- auteur
- Benoit Boulanger, Adrien Borne, Corinne Felix, Véronique Boutou, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson
- article
- International Conference OPAL2015, Dec 2015, Algiers, Algeria
- Accès au bibtex
-
- titre
- Clickable organic@inorganic core-shell nanoparticles obtained by a one-step spray drying process for biophotonics
- auteur
- Fabien Dubois, Shridevi Shenoi Perdoor, Xavier Cattoën, Alain Ibanez
- article
- 3nd International workshop on nano and bio-photonics (IWNBP2015), Dec 2015, Cabourg, France
- Accès au bibtex
-
- titre
- Du magnétisme à la supraconductivité dans les pnictures
- auteur
- Pierre Toulemonde
- article
- Le fer dans tous ses états: passé, présent, futur.., Dec 2015, Paris, France
- Accès au bibtex
-
- titre
- Etude PDF de l’amorphisation du beta−tréhalose induite par broyage haute énergie
- auteur
- Pierre Bordet, Pauline Martinetto, William Pagnoux, Emeline Dudognon, Jean-Francois Willart
- article
- Rayons X et Matière, Dec 2015, Grenoble, France
- Accès au bibtex
-
- titre
- Les rayons X au service de l’art pariétal australien : identification des matières picturales et des phases d’altération
- auteur
- Emilie Chalmin, Géraldine Castets, Pauline Martinetto, Sophie Cersoy, Jean Louis Hodeau, Olivier Leynaud
- article
- XIéme colloque Rayons X et Matière, Dec 2015, Grenoble, France
- Accès au bibtex
-
- titre
- Spontaneous Transformation of Thin Films into ZnO Nanowire Arrays with High Structural and Optical Quality
- auteur
- S. Guillemin, E. Sarigiannidou, E. Appert, F. Donatini, G. Renou, G. Bremond, Vincent Consonni
- article
- MRS 2015 Fall Meeting, Nov 2015, Boston, United States
- Accès au bibtex
-
- titre
- Diffraction/Scattering Computed Tomography for 3D characterization of multi-phase polycrystalline and disordered materials
- auteur
- Jean-Louis Hodeau, Michelle Alvarez-Murga, Pierre Bleuet
- article
- C−MAC Days, Nov 2015, Grenoble, France
- Accès au bibtex
-
- titre
- Caractérisation dynamique de la variation de température de matériaux magnétocaloriques dans des conditions adiabatiques
- auteur
- Morgan Almanza, Afef Kedous-Lebouc
- article
- Journées annuelles de la SF2M: "Matériaux et conversion d' énergie", SF2M, Oct 2015, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Gd3Sc2Al3O12:Ce3+, une alternative au Y3Al5O12:Ce3+ pour les diodes blanches ?
- auteur
- Géraldine Dantelle, Lucie Devys, Christophe Dujardin, Ram Seshadri, Thierry Gacoin
- article
- Journée du Groupe Français des Luminophores, Oct 2015, Clermont-Ferrand, France
- Accès au bibtex
-
- titre
- Angular noncritical phase-matched second harmonic generation in BaGa4Se7
- auteur
- Elodie Boursier, Patricia Segonds, Jérôme Debray, Patricia Loren-Inacio, Vladimir Panyutin, Valery Badikov, Dmitrii Badikov, Valentin Petrov, Benoit Boulanger
- article
- Advanced Solid-State Lasers (ASSL), Oct 2015, Berlin, Germany
- Accès au bibtex
-
- titre
- High-Power, Widely Tunable, Room-Temperture, Picosecond Optical Parametric Oscillator Based on Cylindrical MgO:PPLN
- auteur
- S. Chaitanya Kumar, Jérôme Debray, Vincent Kemlin, Benoit Boulanger, Hideki Ishizuki, Takunori Taira, M. Ebrahim-Zadeh
- article
- Advanced Solid-State Lasers (ASSL), Oct 2015, Berlin, Germany
- Accès au bibtex
-
- titre
- Spectroscopic studies of supramolecular organization in bridged silsesquioxanes via Self-Assembly through Hydrogen Bonding
- auteur
- Vânia Freitas, Rozenn Le Parc, M. Wong Chi Man, Xavier Cattoën, G. Creff, Rute A. S. Ferreira, Luis D. Carlos, Jean-Louis Bantignies
- article
- XIV Encontro da SBPMat, Sep 2015, Rio de Janeiro, Brazil
- Accès au bibtex
-
- titre
- Nanoparticules luminescentes dopées par des ions lanthanides pour les LEDs blanches
- auteur
- Géraldine Dantelle
- article
- Nanoparticules luminescentes dopées par des ions lanthanides pour les LEDs blanches, Sep 2015, Paris, France
- Accès au bibtex
-
- titre
- Full characterization of the nonlinear optical properties of new crystals for the infrared parametric generation
- auteur
- Patricia Segonds, Benoit Boulanger, Elodie Boursier, Jérôme Debray, Bertrand Ménaert, Corinne Felix, David Jegouso, Alexandra Peña, Véronique Boutou
- article
- International Conference on Advanced Laser Technologies ALT’15, Sep 2015, Faro, Portugal
- Accès au bibtex
-
- titre
- Biosensors fabricated by inkjet printing of functionalized mesoporous silica substrates
- auteur
- Fabrice Rossignol, J. Graffion, Martine Lejeune, F. Lalloué, M. Wong Chi Man, J-O Durand, X. Cattoen
- article
- Euromat 2015, Sep 2015, Varsovie, Poland
- Accès au bibtex
-
- titre
- A versatile electronic tongue for analysis of food samples based on pattern recognition
- auteur
- Laurie-Amandine Garçon, Maria Genua, Arnaud Buhot, Martial Billon, Roberto Calemczuk, David Bonnaffe, Thierry Livache, Yanxia Hou
- article
- 2nd International Symposium on Profiling, Sep 2015, Costa de Caparica, Portugal
- Accès au bibtex
-
- titre
- European Crystallography before the discovery of X-rays
- auteur
- Jean-Louis Hodeau
- article
- ECM-29 (2015) 29rd Congress, Aug 2015, Rovinj, Croatia
- Accès au bibtex
-
- titre
- Exotic phases and strain in model perovskite under high-pressure
- auteur
- Pierre Bouvier
- article
- European Crystallographic Meeting ECM 2015, Aug 2015, Rovinj, Croatia
- Accès au bibtex
-
- titre
- Third harmonic generation : a unique probe for symmetry analysis of isotropic media under strain
- auteur
- Benoit Boulanger, Adrien Borne, Tomotaka Katsura, Corinne Felix, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson
- article
- Non Linear Optics (NLO), Jul 2015, Hawaii, United States
- Accès au bibtex
-
- titre
- Interplay of bonding and electronic properties at diamond interfaces
- auteur
- Pierre R Muret, Aboulaye Traoré, Gauthier Chicot
- article
- Third French-Japanese workshop on diamond power devices, J. Pernot & S. Koizumi, Jul 2015, Nimes, France
- Accès au bibtex
-
- titre
- Enabling high switching speed for diamond power transistors
- auteur
- Nicolas Clément, Jean-Paul Rouger, Aurélien Maréchal, Thanh Long Le, Davy Colin, Julien Pernot, Jean-Christophe Crébier, Etienne Gheeraert
- article
- 3rd French-Japanese workshop on Diamond Power Device, Jul 2015, France
- Accès au bibtex
-
- titre
- Characterization of metal contacts on semiconducting Nanowires using electrical biasing in a transmission electron microscope
- auteur
- M. den Hertog
- article
- 14e Colloque de la Société Française des Microscopies, Jul 2015, Nice, France
- Accès au bibtex
-
- titre
- Exotic phases and strain in model perovskite under high-pressure
- auteur
- Pierre Bouvier, Mael Guennou, Jens Kreisel
- article
- European Meeting on Ferroelectricity EMF 2015, Jun 2015, Porto, Portugal
- Accès au bibtex
-
- titre
- Les émulateurs pédagogiques
- auteur
- Christian Hoffmann, Anne Briançon-Marjollet, Philippe Brulard, Jean-Luc Cracowski, Julien Douady, Myriam Houssay-Holzschuch, Pascal Lafourcade, Isabelle Le Brun, Signe Seidelin, Sophie Térouanne
- article
- Question de Pédagogies dans l’Enseignement Supérieur (QPES), Jun 2015, Brest, France. pp.277
- Accès au bibtex
-
- titre
- Foodborne bacteria identification based on continuous evolution patterns generated by electronic tongues
- auteur
- Laurie-Amandine Garçon, Arnaud Buhot, Martial Billon, Roberto Calemczuk, David Bonnaffe, Thierry Livache, Yanxia Hou
- article
- 16th International Symposium on Olfaction & Electronic Nose, Jun 2015, Dijon, France
- Accès au bibtex
-
- titre
- Solution growths of remarkable phosphate crystals: From lab researches to industrial applications
- auteur
- Alain Ibanez, Julien Zaccaro, Bertrand Ménaert
- article
- Crystallography for the next generation: the legacy of IYCr. International closing of the International Year of Crystallography., Apr 2015, Rabat, Morocco
- Accès au bibtex
-
- titre
- Le stockage solide de l'hydrogène au service des énergies renouvelables
- auteur
- Patricia de Rango, Simeon Nachev, Daniel Fruchart, Philippe Marty, Salvatore Miraglia
- article
- Matériaux 2015, Mar 2015, Madhia, Tunisie
- Accès au bibtex
-
- titre
- Correlating Optoelectronic and Transport Properties of GaN/AlN Nanowires with Polarity and Crystal Structure
- auteur
- M. den Hertog
- article
- 18th European Molecular Beam Epitaxy Workshop, Mar 2015, Canazei, Italy
- Accès au bibtex
-
- titre
- Doping effects (Ge, Cr, Os) on thermoelectric properties of higher manganese silicides
- auteur
- Laetitia Laversenne, Matthieu Regniere, Solange Vivès, Stéphane Gorsse, David R. Clarke
- article
- TMS Annual Meeting & Exhibition, Mar 2015, Orlando, United States
- Accès au bibtex
-
- titre
- Electronic tongue-based analysis of complex mixtures and bacteria
- auteur
- Laurie-Amandine Garçon, Maria Genua, Yanjie Hou, A. Buhot, Martial Billon, Roberto Calemczuk, David Eon, David Bonnaffe, Hugues Lortat-Jacob, Thierry Livache, Yanxia Hou
- article
- 2nd ERC Biomim, Mar 2015, Grenoble, France
- Accès au bibtex
-
- titre
- Polystyrene brush optimization for directed self-assembly of high-X PS-b-PDMS diblock copolymer
- auteur
- J. Garnier, S. Böhme, C. Girardot, M. Salaun, J. Arias-Zapatta, M. Zelsmann
- article
- European Materials Research Society conference- E-MRS 2015, Spring, 2015, Lille, France
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- MOVPE growth and characterization of core-shell nanorod heterostructures for optoelectronics.
- auteur
- J. Eymery, Daniel Le Si Dang
- article
- Modeling, Characterization and Production of Nanomaterials, pp.323-335, 2015, 9781782422280. ⟨10.1016/B978-1-78242-228-0.00012-0⟩
- Accès au bibtex
-
- titre
- Hybrid III–V/Silicon Nanowires
- auteur
- Moïra Hocevar, Sonia Conesa-Boj, Erik P.A.M. Bakkers
- article
- Semiconductors and Semimetals, 93, pp.231-248, 2015, Semiconductors and Semimetals, ⟨10.1016/bs.semsem.2015.07.006⟩
- Accès au texte intégral et bibtex
-
- titre
- Electron holography of nanowires – Part 2
- auteur
- M. den Hertog
- article
- Semiconductor Nanowires, Elsevier, pp.253-275, 2015, ⟨10.1016/B978-1-78242-253-2.00009-8⟩
- Accès au bibtex
-
Autre publication scientifique
- titre
- RX & Matière (2015)
- auteur
- Patrice Gergaud, Olivier Leynaud, J.L. Hodeau
- article
- 2015
- Accès au bibtex
-
- titre
- Site Web grand public et jeunes « Krystallopolis »
- auteur
- Jean-Louis Hodeau, Marc de Boissieu, Dominique Cornuejols, Pascal Moutet, Yannick Lacaze, Thibaut David
- article
- 2015
- Accès au bibtex
-
Ouvrages
- titre
- La lumière
- auteur
- Benoit Boulanger
- article
- Hors-série (14), 2015, La Recherche
- Accès au bibtex
-
Brevet
- titre
- Functionalisable Polysilylated Organosilane Precursors
- auteur
- Kristýna Bürglová, Nirmalya Moitra, Jana Hodačová, Xavier Cattoën, Michel Wong Chi Man
- article
- France, Patent n° : FR N° 1256545. 2015
- Accès au bibtex
-
- titre
- Polysilylated Organosilane Compounds
- auteur
- Michel Wong Chi Man, Kristýna Bürglová, Jana Hodačová, Xavier Cattoën
- article
- France, Patent n° : FR N° 1256549. 2015
- Accès au bibtex
-
Poster de conférence
- titre
- Thermal stability of ferroelectric domain gratings in Rb-doped KTiOPO4
- auteur
- Gustav Lindgren, Alexandra Pena, Andrius Zukauskas, Charlotte Liljestrand, Bertrand Ménaert, Benoit Boulanger, Carlota Canalias
- article
- Advanced Solid-State Lasers (ASSL), Oct 2015, Berlin, Germany
- Accès au bibtex
-
- titre
- Thermal stability of ferroelectric domain gratings in Rb-doped KTP
- auteur
- Gustav Lindgren, Alexandra Peña, Andrius Zukauskas, Charlotte Liljestrand, Bertrand Ménaert, Benoit Boulanger, Carlota Canalias
- article
- Fifth European Conference on Crystal Growth ECCG5 , Sep 2015, Bologna, Italy
- Accès au bibtex
-
- titre
- Structure Resolution of the complex γ-La₆W₂O₁5
- auteur
- S. Kodjikian, C. Lepoittevin, H. Klein, T. Schonenberg, O. Leynaud, M-H. Chambrier, F. Goutenoire
- article
- The 29th European Crystallographic Meeting, Aug 2015, Rovinj, Croatia. 2015
- Accès au bibtex
-
- titre
- Angular noncritical phase-matching second harmonic generation in BaGa4Se7
- auteur
- Elodie Boursier, Patricia Segonds, Jérôme Debray, Patricia Loren-Inacio, Vladimir Panyutin, Valentin Petrov, Benoit Boulanger
- article
- Non Linear Optics (NLO), Jul 2015, Hawaii, United States
- Accès au bibtex
-
- titre
- Effect of Sb‐doping on the thermoelectric properties of Mg2Si thin films synthesized by microwave plasma‐assisted co‐sputtering
- auteur
- C. Prahoveanu, L. Laversenne, M. Salaun, C. De Vaulx, K. Azzouz, Stéphane Béchu, A. Lacoste
- article
- 34th Annual International Conference on Thermoelectrics & 13th European Conference on Thermoelectrics (ICT & ECT 2015), Jun 2015, Dresden, Germany
- Accès au bibtex
-
- titre
- Investigating the excavated coloured rocks (‘ochres’) from Nawarla Gabarmang, a c. 48,000 year old Aboriginal site in northern Australia
- auteur
- Géraldine Castets, Emilie Chalmin, Bruno David, Jean-Jacques Delannoy, Jean Michel Geneste, Anne-Lise Develle, Soufi Faycal, Pauline Martinetto, Sébastien Pairis, Sophie Cersoy, V Magnin, R. G Gunn, Bryce Barker, M Katherine
- article
- TECHNART, Apr 2015, Catane, Sicile, Italy
- Accès au bibtex
-
Thèse
- titre
- Metal-oxide-semiconductor capacitor for diamond transistor : simulation, fabrication and electrical analysis
- auteur
- Aurélien Maréchal
- article
- Micro and nanotechnologies/Microelectronics. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAT094⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement de langue électronique : étude de mélanges complexes et de bactéries
- auteur
- Laurie-Amandine Garçon
- article
- Matériaux. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI069⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement et application d’une pince optique à fibres nano-structurées
- auteur
- Jean-Baptiste Decombe
- article
- Optique [physics.optics]. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAY035⟩
- Accès au texte intégral et bibtex
-
- titre
- Evolution microstructurale et comportement mécanique des composites à base de MgH₂ au cours des cycles d'hydruration
- auteur
- Simeon Nachev
- article
- Mécanique des matériaux [physics.class-ph]. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAY077⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis, structural and magneto-electric properties of compounds belonging to the pyroxene family
- auteur
- Lei Ding
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAY034⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-dimensional polariton Fluids : spatial correlation properties and thermodynamics
- auteur
- Emilien Durupt
- article
- Thermics [physics.class-ph]. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAY094⟩
- Accès au texte intégral et bibtex
-
- titre
- Propriétés optiques et électroniques du diamant fortement dopé au bore
- auteur
- Jessica Bousquet
- article
- Physique [physics]. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAY047⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic quantum dots in II-VI semiconductor nanowires
- auteur
- Pamela Rueda-Fonseca
- article
- Physics [physics]. Grenoble University, 2015. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- III-Nitride nanostructures for UV emitters
- auteur
- Chalermchai Himwas
- article
- Condensed Matter [cond-mat]. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAY011⟩
- Accès au texte intégral et bibtex
-
- titre
- Propriétés électriques, optiques et électro-optiques de microfils GaN pour la réalisation de LEDs
- auteur
- Pierre Tchoulfian
- article
- Micro et nanotechnologies/Microélectronique. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAT013⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Stochastic thermodynamics in the quantum regime
- auteur
- Cyril Elouard, Alexia Auffèves, Maxime Clusel
- article
- 2015
- Accès au texte intégral et bibtex
-
- titre
- Nano-optomechanical measurement in the photon counting regime
- auteur
- Laure Mercier de Lépinay, Benjamin Pigeau, Sven Rohr, Arnaud Gloppe, Aurélien G. Kuhn, Pierre Verlot, Eva Dupont-Ferrier, Benjamin Besga, Olivier Arcizet
- article
- 2015
- Accès au texte intégral et bibtex
-
2014
Article dans une revue
- titre
- Investigation of the structural and hydrogenation properties of disordered Ti-V-Cr-Mo BCC solid solutions
- auteur
- C. Raufast, Damien Planté, S. Miraglia
- article
- Journal of Alloys and Compounds, 2014, 617, pp.633 - 638. ⟨10.1016/j.jallcom.2014.07.089⟩
- Accès au bibtex
-
- titre
- Investigation of ZrFe2-type materials for metal hydride hydrogen compressor systems by substituting Fe with Cr or V
- auteur
- E. Koultoukis, S.S. Makridis, E. Pavlidou, P. de Rango, A.K. Stubos
- article
- International Journal of Hydrogen Energy, 2014, 39 (36), pp.21380 - 21385. ⟨10.1016/j.ijhydene.2014.03.184⟩
- Accès au bibtex
-
- titre
- Ultrawidely tunable optical parametric oscillators based on relaxed phase matching: theoretical analysis
- auteur
- Quentin Clément, Jean-Michel Melkonian, Myriam Raybaut, Jean-Baptiste Dherbecourt, Antoine Godard, Benoit Boulanger, Michel Lefebvre
- article
- Journal of the Optical Society of America B, 2014, 32 (1), pp.52. ⟨10.1364/JOSAB.32.000052⟩
- Accès au bibtex
-
- titre
- Fabry-Perot Interferometer with Quantum Mirrors: Nonlinear Light Transport and Rectification
- auteur
- Filippo Fratini, Eduardo Mascarenhas, Laleh Safari, Jean-Philippe Poizat, Daniel Valente, Alexia Auffèves, Dario Gerace, Marcelo F. Santos
- article
- Physical Review Letters, 2014, 113 (24), pp.243601. ⟨10.1103/PhysRevLett.113.243601⟩
- Accès au bibtex
-
- titre
- Crystal Structure of an Indigo@Silicalite Hybrid Related to the Ancient Maya Blue Pigment
- auteur
- Catherine Dejoie, Pauline Martinetto, Nobumichi Tamura, Martin Kunz, Florence Porcher, Patrice Bordat, Ross Brown, Eric Dooryhée, Michel Anne, Lynne B. Mccusker
- article
- Journal of Physical Chemistry C, 2014, 118 (48), pp.28032 - 28042. ⟨10.1021/jp509969z⟩
- Accès au bibtex
-
- titre
- Orbital moment anisotropy in ultrathin FePt layers
- auteur
- Marcio Soares, Anne Lamirand, Aline Y. Ramos, Maurizio de Santis, Hélio C. N. Tolentino
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 90 (21), pp.214403. ⟨10.1103/PhysRevB.90.214403⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure, stability and geochemical role of palladium chloride complexes in hydrothermal fluids
- auteur
- Elena F. Bazarkina, Gleb S. Pokrovski, Jean-Louis Hazemann
- article
- Geochimica et Cosmochimica Acta, 2014, 146, pp.107-131. ⟨10.1016/j.gca.2014.09.024⟩
- Accès au bibtex
-
- titre
- Origin of Crazing in Deuterated KDP Crystals
- auteur
- Julien Zaccaro, Jérôme Debray, Sabine Douillet, Alain Ibanez
- article
- Crystal Growth & Design, 2014, 14 (12), pp.6581-6588. ⟨10.1021/cg501491x⟩
- Accès au bibtex
-
- titre
- Effects of M=Si, Ga and Al for Co substitution on the electronic properties of RCo4M as probed by XPS
- auteur
- A. Laslo, R. Dudric, M. Neumann, O. Isnard, M. Coldea, V. Pop
- article
- Solid State Communications, 2014, 199, pp.43 - 46. ⟨10.1016/j.ssc.2014.08.016⟩
- Accès au bibtex
-
- titre
- Temporal coherence of propagating surface plasmons
- auteur
- Wang Tao, Geneviève Comtet, Eric Le Moal, Gérald Dujardin, Aurelien Drezet, Serge Huant, Elizabeth Boer-Duchemin
- article
- Optics Letters, 2014, 39 (23), pp.6679. ⟨10.1364/OL.39.006679⟩
- Accès au texte intégral et bibtex
-
- titre
- Mixed Periodic Mesoporous Organosilica Nanoparticles and Core−Shell Systems, Application to in Vitro Two-Photon Imaging, Therapy, and Drug Delivery
- auteur
- Jonas Croissant, Marie Maynadier, Olivier Mongin, Vincent Hugues, Mireille Blanchard-Desce, Xavier Cattoën, Michel Wong Chi Man, Audrey Gallud, Marcel Garcia, Magali Gary-Bobo, Laurence Raehm, Jean-Olivier Durand, Fabrice Salles
- article
- Chemistry of Materials, 2014, 26 (24), pp.7214-7220. ⟨10.1021/cm5040276⟩
- Accès au bibtex
-
- titre
- Ballistic- and quantum-conductor carbon nanotubes: A reference experiment put to the test
- auteur
- M. Kobylko, M. Kociak, Y. Sato, K. Urita, Anne-Marie Bonnot, A. Kasumov, Y. Kasumov, K. Suenaga, C. Colliex
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 90 (19), pp.195431. ⟨10.1103/PhysRevB.90.195431⟩
- Accès au bibtex
-
- titre
- Electric-field-induced magnetization reorientation in a (Ga,Mn)As/(Ga,Mn)(As,P) bilayer with out-of-plane anisotropy
- auteur
- M. Cormier, V. Jeudy, T. Niazi, D. Lucot, M. Granada, Joel Cibert, A. Lemaître
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 90 (17), pp.174418. ⟨10.1103/PhysRevB.90.174418⟩
- Accès au bibtex
-
- titre
- Biochemical and biophysical characterization of the selenium-binding and reducing site in Arabidopsis thaliana homologue to mammals selenium-binding protein 1.
- auteur
- Florie Schild, Sylvie Kieffer-Jacquinot, Andrés Palencia, David Cobessi, Géraldine Sarret, Chloé Zubieta, Agnés Jourdain, Renaud Dumas, Vincent Forge, Denis Testemale, Jacques Bourguignon, Véronique Hugouvieux
- article
- Journal of Biological Chemistry, 2014, 289 (46), pp.31765-31776. ⟨10.1074/jbc.M114.571208⟩
- Accès au texte intégral et bibtex
-
- titre
- Monitoring Morphology and Hydrogen Coverage of Nanometric Pt/g-Al2O3 Particles by In Situ HERFD–XANES and Quantum Simulations
- auteur
- Agnès Gorczyca, Virginie Moizan-Baslé, Céline Chizallet, Olivier Proux, William del Net, Eric Lahera, Jean-Louis Hazemann, Pascal Raybaud, Yves Joly
- article
- Angewandte Chemie International Edition, 2014, 53 (46), pp.12426. ⟨10.1002/anie.201403585⟩
- Accès au bibtex
-
- titre
- Magnetic order of YNi4Si-type TbNi4Si
- auteur
- A.V. Morozkin, Fang Yuan, Y. Mozharivskyj, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2014, 368, pp.121 - 125. ⟨10.1016/j.jmmm.2014.04.057⟩
- Accès au bibtex
-
- titre
- Oxygen vacancy and EC − 1 eV electron trap in ZnO
- auteur
- Gauthier Chicot, Pierre R. Muret, Jean-Louis Santailler, Guy Feuillet, Julien Pernot
- article
- Journal of Physics D: Applied Physics, 2014, 47 (46), pp.465103. ⟨10.1088/0022-3727/47/46/465103⟩
- Accès au texte intégral et bibtex
-
- titre
- Collapse of ferromagnetism in itinerant-electron system: A magnetic, transport properties, and high pressure study of (Hf,Ta)Fe2 compounds
- auteur
- L. V. B. Diop, J. Kastil, O. Isnard, Z. Arnold, J. Kamarad
- article
- Journal of Applied Physics, 2014, 116 (16), pp.163907. ⟨10.1063/1.4900034⟩
- Accès au bibtex
-
- titre
- Critical boron-doping levels for generation of dislocations in synthetic diamond
- auteur
- M.-P. Alegre, D. Araujo, Alexandre Fiori, J.-C. Pinero, F Lloret, M.P. Villar, Philipp Achatz, Gauthier Chicot, Etienne Bustarret, F Jomard
- article
- Applied Physics Letters, 2014, 105 (17), pp.173103. ⟨10.1063/1.4900741⟩
- Accès au texte intégral et bibtex
-
- titre
- Decision making based on optical excitation transfer via near-field interactions between quantum dots
- auteur
- Makoto Naruse, Wataru Nomura, Masashi Aono, Motoichi Ohtsu, Yannick Sonnefraud, Aurélien Drezet, Serge Huant, Song-Ju Kim
- article
- Journal of Applied Physics, 2014, 116 (15), pp.154303. ⟨10.1063/1.4898570⟩
- Accès au texte intégral et bibtex
-
- titre
- Neutron diffraction and scattering study of the weak ferromagnetism in NaFe 4 Sb 12 skutterudite
- auteur
- A. Leithe-Jasper, W. Schnelle, H. Rosner, W. Schweika, O. Isnard
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 90 (14), pp.144416. ⟨10.1103/PhysRevB.90.144416⟩
- Accès au bibtex
-
- titre
- Nanowire terahertz quantum cascade lasers
- auteur
- Thomas Grange
- article
- Applied Physics Letters, 2014, 105 (14), pp.141105. ⟨10.1063/1.4897543⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure in Nascent Carbon Nanotubes Revealed by Spatially Resolved Raman Spectroscopy
- auteur
- Perine Landois, Mathieu Pinault, Mickaël Huard, Valérie Reita, Stéphan Rouzière, Pascale Launois, Martine Mayne-L'Hermite, Nedjma Bendiab
- article
- Thin Solid Films, 2014, 568, pp.102-110. ⟨10.1016/j.tsf.2014.07.016⟩
- Accès au texte intégral et bibtex
-
- titre
- Diamond as substrate for 3C-SiC growth: A TEM study
- auteur
- Gabriel Ferro, F. Lloret, J. Piñero, D. Araujo, M. Villar, E. Gheeraert, A. Vo-Ha, V. Soulière, M. Rebaud, D. Carole
- article
- physica status solidi (a), 2014, 211 (10), pp.2302 - 2306. ⟨10.1002/pssa.201431179⟩
- Accès au bibtex
-
- titre
- Long coherence times for Rydberg qubits on a superconducting atom chip
- auteur
- C. Hermann-Avigliano, R. Celistrino Teixeira, T. L. Nguyen, T. Cantat-Moltrecht, Gilles Nogues, I. Dotsenko, S. Gleyzes, J. M. Raimond, S. Haroche, M. Brune
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2014, 90 (4), pp.040502. ⟨10.1103/PhysRevA.90.040502⟩
- Accès au texte intégral et bibtex
-
- titre
- Metal-oxide-diamond interface investigation by TEM: Toward MOS and Schottky power device behavior
- auteur
- J. Piñero, D. Araujo, A. Traoré, G. Chicot, A. Maréchal, P. Muret, M. P. Alegre, M. P. Villar, Julien Pernot
- article
- physica status solidi (a), 2014, 211 (10), pp.2367 - 2371. ⟨10.1002/pssa.201431178⟩
- Accès au bibtex
-
- titre
- High-quality NbN nanofilms on a GaN/AlN heterostructure
- auteur
- Diane Sam-Giao, Stéphanie Pouget, Catherine Bougerol, Eva Monroy, Alexander Grimm, Salha Jebari, Max Hofheinz, J.-M. Gérard, Val Zwiller
- article
- AIP Advances, 2014, 4 (10), pp.107123. ⟨10.1063/1.4898327⟩
- Accès au bibtex
-
- titre
- Tailoring the Hydrophilic/Lipophilic Balance of Clickable Mesoporous Organosilicas by the Copper-Catalyzed Azide−Alkyne Cycloaddition Click-Functionalization
- auteur
- Achraf Noureddine, Philippe Trens, Xavier Cattoën, Michel Wong Chi Man, Guillaume Toquer
- article
- Langmuir, 2014, 30 (41), pp.12297-12305. ⟨10.1021/la503151w⟩
- Accès au bibtex
-
- titre
- Bidimensional nano-optomechanics and topological backaction in a non-conservative radiation force field
- auteur
- Arnaud Gloppe, Pierre Verlot, Eva Dupont-Ferrier, Alessandro Siria, Philippe Poncharal, Guillaume Bachelier, Pascal Vincent, Olivier Arcizet
- article
- Nature Nanotechnology, 2014, 9 (11), pp.920-926. ⟨10.1038/nnano.2014.189⟩
- Accès au texte intégral et bibtex
-
- titre
- Click approaches in sol-gel chemistry
- auteur
- Xavier Cattoën, Achraf Noureddine, Jonas Croissant, Nirmalya Moitra, Kristýna Bürglová, Jana Hodačová, Olivia de Los Cobos, Martine Lejeune, Fabrice Rossignol, Delphine Toulemon, Sylvie Bégin-Colin, Benoît Pichon, Laurence Raehm, J.-O. Durand, Michel Wong Chi Man
- article
- Journal of Sol-Gel Science and Technology, 2014, 70 (2), pp.245-253. ⟨10.1007/s10971-013-3155-x⟩
- Accès au bibtex
-
- titre
- Biodegradable Ethylene-Bis(Propyl)Disulfide-Based Periodic Mesoporous Organosilica Nanorods and Nanospheres for Efficient In-Vitro Drug Delivery.
- auteur
- Jonas Croissant, Xavier Cattoën, Michel Wong Chi Man, Audrey Gallud, Laurence Raehm, Philippe Trens, Marie Maynadier, Jean-Olivier Durand
- article
- Advanced Materials, 2014, 26 (35), pp.6174-6180. ⟨10.1002/adma.201401931⟩
- Accès au bibtex
-
- titre
- Dynamics of a Mn spin coupled to a single hole confined in a quantum dot
- auteur
- Bobin Varghese, Hervé Boukari, Lucien Besombes
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 90 (11), pp.115307. ⟨10.1103/PhysRevB.90.115307⟩
- Accès au texte intégral et bibtex
-
- titre
- Alloy inhomogeneity and carrier localization in AlGaN sections and AlGaN/AlN nanodisks in nanowires with 240-350 nm emission
- auteur
- C. Himwas, M. den Hertog, Le Si Dang, E. Monroy, R. Songmuang
- article
- Applied Physics Letters, 2014, 105 (24), pp.241908. ⟨10.1063/1.4904989⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis of Fe3O4/Fe nanocomposite powder from Fe2O3 and Fe powder by mechanosynthesis. Structural, thermal and magnetic characterisation
- auteur
- Traian Florin Marinca, Bogdan Viorel Neamţu, Ionel Chicinaş, Olivier Isnard
- article
- Journal of Alloys and Compounds, 2014, 608, pp.54-59. ⟨10.1016/j.jallcom.2014.04.123⟩
- Accès au bibtex
-
- titre
- Raman scattering structural studies of nonlinear optical M(IO3)3(M = Fe, Ga, α-In) and linear optical β-In(IO3)3
- auteur
- M. Junaid Bushiri, T. C. Kochuthresia, V. K. Vaidyan, Isabelle Gautier-Luneau
- article
- Journal of Nonlinear Optical Physics and Materials, 2014, 23 (3), pp.1450039. ⟨10.1142/S0218863514500398⟩
- Accès au bibtex
-
- titre
- Effect of iron substitution on the structural, magnetic and magnetocaloric properties of Pr0.6Ca0.1Sr0.3Mn1−xFexO3 (0⩽x⩽0.075) manganites
- auteur
- Saoussen Mahjoub, Mohamed Baazaoui, Rafik M’nassri, Hedi Rahmouni, Nassira Chniba Boudjada, Mohamed Oumezzine
- article
- Journal of Alloys and Compounds, 2014, 608, pp.191-196. ⟨10.1016/j.jallcom.2014.04.125⟩
- Accès au bibtex
-
- titre
- Boron-doped superlattices and Bragg mirrors in diamond
- auteur
- Alexandre Fiori, Jessica Bousquet, David Eon, Franck Omnès, E Bellet-Amalric, Etienne Bustarret
- article
- Applied Physics Letters, 2014, 105 (8), pp.081109. ⟨10.1063/1.4894376⟩
- Accès au texte intégral et bibtex
-
- titre
- Strain in crystalline core-shell nanowires
- auteur
- David Ferrand, Joel Cibert
- article
- European Physical Journal: Applied Physics, 2014, 67 (3), pp.30403. ⟨10.1051/epjap/2014140156⟩
- Accès au texte intégral et bibtex
-
- titre
- Spin effects probed by Rayleigh X-ray scattering off hydrogenic ions
- auteur
- Laleh Safari, Pedro Amaro, Jose Paulo Santos, Filippo Fratini
- article
- Radiation Physics and Chemistry, 2014, 106, pp.271 - 277. ⟨10.1016/j.radphyschem.2014.08.007⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical driving of macroscopic mechanical motion by a single two-level system
- auteur
- Alexia Auffèves, Maxime Richard
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2014, 90 (2), pp.023818. ⟨10.1103/PhysRevA.90.023818⟩
- Accès au bibtex
-
- titre
- Electronic Structure and Transport in Approximants of the Penrose Tiling
- auteur
- Guy Trambly de Laissardière, Attila Szallas, Didier Mayou
- article
- Acta Physica Polonica A, 2014, 126 (2), pp.617. ⟨10.12693/APhysPolA.126.617⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of archaeological samples using XRPD-PDF and Raman spectroscopy
- auteur
- Sophie Cersoy, Pauline Martinetto, Pierre Bordet, Jean-Louis Hodeau, Philippe Walter, Elsa van Elslande
- article
- Acta Crystallographica Section A : Foundations and Advances [2014-..], 2014, 70 (A1), pp.C863. ⟨10.1107/s2053273314091360⟩
- Accès au bibtex
-
- titre
- Non-universality of artificial frustrated spin systems
- auteur
- Ioan-Augustin Chioar, Nicolas Rougemaille, Alexander Grimm, Olivier Fruchart, Edouard Wagner, M. Hehn, D. Lacour, F. Montaigne, Benjamin Canals
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 90 (6), pp.064411. ⟨10.1103/PhysRevB.90.064411⟩
- Accès au bibtex
-
- titre
- Nanocrystalline/Nanosized Mixed Nickel-Manganese Ferrites Obtained by Mechanical Milling
- auteur
- Ionel Chicinaş, Traian Florin Marinca, Bogdan Viorel Neamţu, Florin Popa, Olivier Isnard
- article
- Solid State Phenomena, 2014, 216, pp.243-248. ⟨10.4028/www.scientific.net/SSP.216.243⟩
- Accès au bibtex
-
- titre
- Determination of the magnetocaloric entropy change in the presence of phase separation and metastability: The case of Eu0.58Sr0.42MnO3
- auteur
- F. Guillou, V. Hardy, D. Fruchart, B. Zawilski
- article
- Journal of Magnetism and Magnetic Materials, 2014, 363, pp.145-151. ⟨10.1016/j.jmmm.2014.03.073⟩
- Accès au bibtex
-
- titre
- Quantum mechanical evolution operator in the presence of a scalar linear potential: discussion on the evolved state
- auteur
- Filippo Fratini, Laleh Safari
- article
- Physica Scripta, 2014, 89 (8), pp.085004. ⟨10.1088/0031-8949/89/8/085004⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis of the Fe-10%Si Nanocrystalline Powder by Mechanical Alloying
- auteur
- Cristina Daniela Stanciu, Traian Florin Marinca, Florin Popa, Ionel Chicinaş, Olivier Isnard
- article
- Solid State Phenomena, 2014, 216, pp.283-287. ⟨10.4028/www.scientific.net/SSP.216.283⟩
- Accès au bibtex
-
- titre
- Composite Powder and Compacts of Iron/Iron Oxide Type Produced by Mechanosynthesis and Reactive Sintering
- auteur
- Traian Florin Marinca, Bogdan Neamţu, Florin Popa, Ionel Chicinaş, Olivier Isnard
- article
- Solid State Phenomena, 2014, 216, pp.29-34. ⟨10.4028/www.scientific.net/SSP.216.29⟩
- Accès au bibtex
-
- titre
- Atomic scale investigations on CdxZn1−xSe quantum dots: Correlation between the composition and emission properties
- auteur
- H. Benallali, T. Cremel, K. Hoummada, Dominique Mangelinck, R. André, S. Tatarenko, Kuntheak Kheng
- article
- Applied Physics Letters, 2014, 105 (5), pp.053103. ⟨10.1063/1.4891635⟩
- Accès au texte intégral et bibtex
-
- titre
- Preparation and Characterization of Amorphous Soft Magnetic FeSiB Powders and Spark Plasma Sintered Compacts
- auteur
- Bogdan Viorel Neamţu, Traian Florin Marinca, Ionel Chicinaş, Florin Popa, Olivier Isnard
- article
- Solid State Phenomena, 2014, 216, pp.163-168. ⟨10.4028/www.scientific.net/SSP.216.163⟩
- Accès au bibtex
-
- titre
- Electronic Effect Related to the Nonuniform Distribution of Ionic Charges in Metal-Cluster Chalcogenide Halides
- auteur
- Elena Levi, Doron Aurbach, Olivier Isnard
- article
- European Journal of Inorganic Chemistry, 2014, 2014 (23), pp.3736-3746. ⟨10.1002/ejic.201402300⟩
- Accès au bibtex
-
- titre
- Experimental Proof of Concept of Nanoparticle-Assisted STED
- auteur
- Yannick Sonnefraud, Hugo G. Sinclair, Yonatan Sivan, Matthew R. Foreman, Christopher W. Dunsby, Mark A. A. Neil, Paul M. French, Stefan A. Maier
- article
- Nano Letters, 2014, 14 (8), pp.4449-4453. ⟨10.1021/nl5014103⟩
- Accès au bibtex
-
- titre
- SERS Correlation Spectroscopy of Silver Aggregates in Colloidal Suspension: Quantitative Sizing Down to a Single Nanoparticle
- auteur
- Aude Barbara, Fabien Dubois, Alain Ibanez, Lukas Eng, Pascal Quemerais
- article
- Journal of Physical Chemistry C, 2014, 118 (31), pp.17922-17931. ⟨10.1021/jp5024444⟩
- Accès au texte intégral et bibtex
-
- titre
- A General Method for Preparing Bridged Organosilanes with Pendant Functional Groups and Functional Mesoporous Organosilicas
- auteur
- Kristýna Bürglová, Achraf Noureddine, Jana Hodačová, Guillaume Toquer, Xavier Cattoën, Michel Wong Chi Man
- article
- Chemistry - A European Journal, 2014, 20 (33), pp.10371-10382. ⟨10.1002/chem.201403136⟩
- Accès au bibtex
-
- titre
- Angular and polarization analysis for two-photon decay of 2s hyperfine states of hydrogenlike Uranium
- auteur
- Laleh Safari, Pedro Amaro, Jose Paulo Santos, Filippo Fratini
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2014, 90 (1), pp.014502. ⟨10.1103/PhysRevA.90.014502⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase-matching directions, refined Sellmeier equations and second-order nonlinear coefficient of the infrared Langatate crystal La3Ga5.5Ta0.5O14
- auteur
- Elodie Boursier, Patricia Segonds, Benoit Boulanger, Corinne Felix, Jérôme Debray, David Jegouso, Bertrand Ménaert, Dmitry Roshchupkin, Ichiro Shoji
- article
- Optics Letters, 2014, 39 (13), pp.4033-4036. ⟨10.1364/OL.39.004033⟩
- Accès au bibtex
-
- titre
- How ψ-epistemic models fail at explaining the indistinguishability of quantum states
- auteur
- Cyril Branciard
- article
- Physical Review Letters, 2014, Physical Review Letters, 2 (113), pp.020409. ⟨10.1103/PhysRevLett.113.020409⟩
- Accès au texte intégral et bibtex
-
- titre
- Rhodamine B nanocrystals: elaborations, characterizations and functionalizations for biosensing applications
- auteur
- Emilie Dubuisson, Laëtitia Marty, Xavier Cattoën, Robert Pansu, Sabine Szunerits, Alain Ibanez
- article
- Journal of Sol-Gel Science and Technology, 2014, 72 (1), pp.179-188. ⟨10.1007/s10971-014-3431-4⟩
- Accès au bibtex
-
- titre
- Preparation and soft magnetic properties of spark plasma sintered compacts based on Fe-Si-B glassy powder
- auteur
- B. V. Neamţu, Ionel Chicinas, Olivier Isnard, Traian Florin Marinca, Florin Popa, P. Pascuta
- article
- Journal of Alloys and Compounds, 2014, 600, pp.1-7. ⟨10.1016/j.jallcom.2014.02.115⟩
- Accès au bibtex
-
- titre
- Force feedback microscopy based on an optical beam deflection scheme
- auteur
- Miguel V. Vitorino, Simon Carpentier, Luca Costa, Mario S. Rodrigues
- article
- Applied Physics Letters, 2014, 105 (1), pp.013106. ⟨10.1063/1.4887484⟩
- Accès au bibtex
-
- titre
- Nonreciprocal magneto-optical effects in quantum wells
- auteur
- V. Kochereshko, V. Kats, A. Platonov, V. Sapega, L. Besombes, D. Wolverson, H. Mariette
- article
- physica status solidi (c), 2014, 11 (7-8), pp.1316-1319. ⟨10.1002/pssc.201300747⟩
- Accès au bibtex
-
- titre
- Fabrication of ZnSe-based microcavities for lasing in the strong coupling regime and polariton confinement
- auteur
- Thorsten Klein, Sebastian Klembt, Sebald Kathrin, Figge Stephan, Gust Arne, Carsten Kruse, Detlef Hommel, Gutowski Jürgen, Emilien Durupt, Daniel Le Si Dang, Maxime Richard
- article
- physica status solidi (c), 2014, 11 (7-8), pp.1267. ⟨10.1002/pssc.201300705⟩
- Accès au bibtex
-
- titre
- Enhanced room-temperature mid-ultraviolet emission from AlGaN/AlN Stranski-Krastanov quantum dots
- auteur
- C. Himwas, M. den Hertog, E. Bellet-Amalric, R. Songmuang, F. Donatini, Dang Le Si, E. Monroy
- article
- Journal of Applied Physics, 2014, 116 (2), pp.023502. ⟨10.1063/1.4887140⟩
- Accès au bibtex
-
- titre
- Wigner and Kondo physics in quantum point contacts revealed by scanning gate microscopy
- auteur
- Boris Brun, Frederico Martins, Sebastien Faniel, Benoit Hackens, Guillaume Bachelier, Antonella Cavanna, Christian Ulysse, Abdelkarim Ouerghi, Ulf Gennser, Dominique Mailly, Serge Huant, Vincent Bayot, M. Sanquer, Hermann Sellier
- article
- Nature Communications, 2014, 5, pp.4290. ⟨10.1038/ncomms5290⟩
- Accès au texte intégral et bibtex
-
- titre
- Single-crystalline BiMnO3 studied by temperature-dependent x-ray diffraction and Raman spectroscopy
- auteur
- Pierre Toulemonde, Pierre Bordet, Pierre Bouvier, Jens Kreisel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (22), pp.224107. ⟨10.1103/PhysRevB.89.224107⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental and theoretical analysis of transport properties of core–shell wire light emitting diodes probed by electron beam induced current microscopy
- auteur
- L. Redaelli, A. Mukhtarova, S. Valdueza-Felip, A. Ajay, C. Bougerol, C. Himwas, J. Faure-Vincent, E. Monroy, P. Lavenus, A. Messanvi, Lorenzo Rigutti, A. de Luna Bugallo, H. Zhang, F. Bayle, H Julien, J. Eymery, C. Durand, M. Tchernycheva
- article
- Nanotechnology, 2014, 25 (25), pp.255201. ⟨10.1088/0957-4484/25/25/255201⟩
- Accès au bibtex
-
- titre
- Interplay of disorder and antiferromagnetism in TlFe1.6+(Se1−xSx )2 probed by neutron scattering
- auteur
- S. J. E. Carlsson, David Santos-Cottin, Christophe Lepoittevin, Pierre Strobel, Vivian Nassif, Emmanuelle Suard, Pierre Toulemonde
- article
- Journal of Physics: Condensed Matter, 2014, 26 (27), pp.275701. ⟨10.1088/0953-8984/26/27/275701⟩
- Accès au texte intégral et bibtex
-
- titre
- Phenomenological model for charge dynamics and optical response of disordered systems: Application to organic semiconductors
- auteur
- Simone Fratini, S. Ciuchi, Didier Mayou
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (23), pp.235201. ⟨10.1103/PhysRevB.89.235201⟩
- Accès au bibtex
-
- titre
- Magnetic order of the La3NiGe2-type Ho3NiGe2
- auteur
- A.V. Morozkin, Jinlei Yao, Fang Yuan, Y. Mozharivskyj, O. Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2014, 360, pp.200-204. ⟨10.1016/j.jmmm.2014.02.038⟩
- Accès au bibtex
-
- titre
- Superparamagnetic Iron Oxide Nanoparticles as Novel X-ray Enhancer for Low-Dose Radiation Therapy
- auteur
- Stefanie Klein, Anja Sommer, Luitpold V. R. Distel, Jean-Louis Hazemann, Wolfgang Kröner, Winfried Neuhuber, Paul Müller, Olivier Proux, Carola Kryschi
- article
- Journal of Physical Chemistry B, 2014, 118 (23), pp.6159-6166. ⟨10.1021/jp5026224⟩
- Accès au bibtex
-
- titre
- Basics of Resonant Elastic X-ray Scattering theory
- auteur
- Stéphane Grenier, Yves Joly
- article
- Journal of Physics: Conference Series, 2014, 519 (1), pp.012001. ⟨10.1088/1742-6596/519/1/012001⟩
- Accès au texte intégral et bibtex
-
- titre
- Formation en Nanosciences et Nanotechnologies : Un pas vers une «vraie » interdisciplinarité
- auteur
- Hermann Sellier, Emmanuelle Planus, Fabien Dubois, Laurent Lévy, Isabelle Gautier-Luneau, Philippe Peyla, Florence Marchi
- article
- Journal sur l'enseignement des sciences et technologies de l'information et des systèmes, 2014, 13, pp.0003. ⟨10.1051/j3ea/2014003⟩
- Accès au bibtex
-
- titre
- X-ray absorption spectroscopy investigations on radioactive matter using MARS beamline at SOLEIL synchrotron
- auteur
- Isabelle Llorens, Pier lorenzo Solari, Bruno Sitaud, René Bes, Sebastiano Cammelli, Hervé Hermange, Guillaume Othmane, Sami Safi, Philippe Moisy, Sandrine Wahu, Carole Bresson, Michel l. Schlegel, Denis Menut, Jean-Luc Bechade, Philippe Martin, Jean-Louis F Hazemann, Olivier Proux, Christophe den Auwer
- article
- Radiochimica Acta, 2014, 102 (11), pp.957 - 972. ⟨10.1515/ract-2013-2241⟩
- Accès au texte intégral et bibtex
-
- titre
- Direct Imaging of p-n Junction in Core-Shell GaN Wires
- auteur
- Pierre Tchoulfian, Fabrice Donatini, François Levy, Amélie Dussaigne, Pierre Ferret, Julien Pernot
- article
- Nano Letters, 2014, 14 (6), pp.3491-3498. ⟨10.1021/nl5010493⟩
- Accès au bibtex
-
- titre
- Magnetic and magnetocaloric properties of partially disordered RFeAl (R = Gd, Tb) intermetallic
- auteur
- Jiri Kaštil, P. Javorsky, Jiri Kamarad, Leopold Diop, Olivier Isnard, Zdenek Arnold
- article
- Intermetallics, 2014, 54, pp.15-19. ⟨10.1016/j.intermet.2014.05.008⟩
- Accès au bibtex
-
- titre
- A quantum optical valve in a nonlinear-linear resonators junction
- auteur
- Eduardo Mascarenhas, Dario Gerace, Daniel Valente, Simone Montangero, Alexia Auffèves, M. Franca Santos
- article
- EPL - Europhysics Letters, 2014, 106 (5), pp.54003. ⟨10.1209/0295-5075/106/54003⟩
- Accès au texte intégral et bibtex
-
- titre
- Comprehensive characterization of interface and oxide states in metal/oxide/semiconductor capacitors by pulsed mode capacitance and differential isothermal capacitance spectroscopy
- auteur
- Pierre R. Muret
- article
- Journal of Vacuum Science and Technology, 2014, 32 (3), pp.03D114. ⟨10.1116/1.4865912⟩
- Accès au texte intégral et bibtex
-
- titre
- Observing interferences between past and future quantum states in resonance fluorescence
- auteur
- Philippe Campagne-Ibarcq, Landry Bretheau, Emmanuel Flurin, Alexia Auffèves, François Mallet, Benjamin Huard
- article
- Physical Review Letters, 2014, 112 (18), pp.180402. ⟨10.1103/PhysRevLett.112.180402⟩
- Accès au bibtex
-
- titre
- Quantum contextuality in a Young-type interference experiment
- auteur
- Gilberto Borges, Marcos Carvalho, Pierre-Louis de Assis, José Ferraz, Mateus Araújo, Adán Cabello, Marcelo Terra Cunha, Sebastião Pádua
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2014, 89 (5), pp.052106. ⟨10.1103/PhysRevA.89.052106⟩
- Accès au bibtex
-
- titre
- Effect of Zn Substitution on the Structural and Physical Properties of Delafossite-Type Oxide CuCrO2
- auteur
- T. Elkhouni, M. Amami, P. Strobel, A. Ben Salah
- article
- Journal of Superconductivity and Novel Magnetism, 2014, 27 (5), pp.1111-1118. ⟨10.1007/s10948-014-2495-2⟩
- Accès au bibtex
-
- titre
- d-Penicillamine Tripodal Derivatives as Efficient Copper(I) Chelators
- auteur
- Anne-Solène Jullien, Christelle Gateau, Colette Lebrun, Isabelle Kieffer, Denis Testemale, Pascale Delangle
- article
- Inorganic Chemistry, 2014, 53 (10), pp.5229-5239. ⟨10.1021/ic5004319⟩
- Accès au bibtex
-
- titre
- Gold transport in hydrothermal fluids: Competition among the Cl−, Br−, HS− and NH3(aq) ligands
- auteur
- Weihua Liu, Barbara Etschmann, Denis Testemale, Jean-Louis Hazemann, Kirsten Rempel, Harald Müller, Joël Brugger
- article
- Chemical Geology, 2014, 376, pp.11-19. ⟨10.1016/j.chemgeo.2014.03.012⟩
- Accès au bibtex
-
- titre
- Equivalence of donor and acceptor fits of temperature dependent Hall carrier density and Hall mobility data: Case of ZnO
- auteur
- Stéphane Brochen, Guy Feuillet, Julien Pernot
- article
- Journal of Applied Physics, 2014, 115 (16), pp.163706. ⟨10.1063/1.4871397⟩
- Accès au bibtex
-
- titre
- Analysis of optical near-field energy transfer by stochastic model unifying architectural dependencies
- auteur
- Makoto Naruse, K. Akahane, N. Yamamoto, P. Holmström, L. Thylén, Serge Huant, M. Ohtsu
- article
- Journal of Applied Physics, 2014, 115 (15), pp.154306. ⟨10.1063/1.4871668⟩
- Accès au texte intégral et bibtex
-
- titre
- GaAs single quantum dot embedded into AlGaAs nanowire
- auteur
- V. Kochereshko, V. Kats, A. Platonov, G. Cirlin, A. Bouravleuv, Yu. Samsonenko, L. Besombes, H. Mariette
- article
- AIP Conference Proceedings, 2014, 1566 (1), pp.482-483. ⟨10.1063/1.4848495⟩
- Accès au bibtex
-
- titre
- Magneto- to electro-active transmutation of spin waves in ErMnO3
- auteur
- Laura Chaix, Sophie de Brion, Sylvain Petit, Rafik Ballou, Louis-Pierre Regnault, Jacques Ollivier, Jean-Blaise Brubach, Pascale Roy, Jérôme Debray, Pascal Lejay, Andres Cano, Eric Ressouche, Virginie Simonet
- article
- Physical Review Letters, 2014, 112 (13), pp.137201. ⟨10.1103/PhysRevLett.112.137201⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence of sulfur-bound reduced copper in bamboo exposed to high silicon and copper concentrations
- auteur
- Blanche Collin, Emmanuel Doelsch, Catherine Keller, Patrick Cazevieille, Marie Tella, Perrine Chaurand, Frédéric Panfili, Jean-Louis Hazemann, Jean-Dominique Meunier
- article
- Environmental Pollution (1970), 2014, 187, pp.22-30. ⟨10.1016/j.envpol.2013.12.024⟩
- Accès au bibtex
-
- titre
- Synthesis, Structural, and Magnetic Properties of Nanocrystalline/Nanosized Manganese-Nickel Ferrite–${\rm Mn}_{0.5}{\rm Ni}_{0.5}{\rm Fe}_{2}{\rm O}_{4}$
- auteur
- Ionel Chicinas, Traian Florin Marinca, Bogdan Viorel Neamtu, Florin Popa, Olivier Isnard, Viorel Pop
- article
- IEEE Transactions on Magnetics, 2014, 50 (4), pp.2800704. ⟨10.1109/TMAG.2013.2285246⟩
- Accès au bibtex
-
- titre
- Structure and Morphology in Diffusion-Driven Growth of Nanowires: The Case of ZnTe
- auteur
- Pamela Rueda-Fonseca, Edith Bellet-Amalric, R. Vigliaturo, Martien den Hertog, Yann Genuist, Régis André, Eric Robin, Alberto Artioli, Petr Stepanov, David Ferrand, Kuntheak Kheng, Serge Tatarenko, Joel Cibert
- article
- Nano Letters, 2014, 14 (4), pp.1877-1883. ⟨10.1021/nl4046476⟩
- Accès au bibtex
-
- titre
- Thermodynamics of chromium in UO2 fuel: A solubility model
- auteur
- C. Riglet-Martial, P. Martin, Denis Testemale, C. Sabathier-Devals, G. Carlot, P. Matheron, X. Iltis, U. Pasquet, C. Valot, C. Delafoy, R. Largenton
- article
- Journal of Nuclear Materials, 2014, 447 (1-3), pp.63-72. ⟨10.1016/j.jnucmat.2013.12.021⟩
- Accès au bibtex
-
- titre
- Structural and Magnetic Characteristics of Composite Compacts of Fe/Fe3O4Type Obtained by Sintering
- auteur
- Traian Florin Marinca, Bogdan Neamtu, Ionel Chicinas, Olivier Isnard
- article
- IEEE Transactions on Magnetics, 2014, 50 (4), pp.2800604. ⟨10.1109/TMAG.2013.2285251⟩
- Accès au bibtex
-
- titre
- Magnetic properties of LaCo12B6 compound as probed by neutron diffraction and by magnetization study under high pressures
- auteur
- L.V.B. Diop, Z. Arnold, O. Isnard, J. Kamarád
- article
- Journal of Alloys and Compounds, 2014, 593, pp.163-168. ⟨10.1016/j.jallcom.2013.12.216⟩
- Accès au bibtex
-
- titre
- Improved depth resolution of secondary ion mass spectrometry profiles in diamond: A quantitative analysis of the delta-doping
- auteur
- Alexandre Fiori, François Jomard, Tokuyuki Teraji, Gauthier Chicot, Etienne Bustarret
- article
- Thin Solid Films, 2014, 557, pp.222-226. ⟨10.1016/j.tsf.2013.10.076⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental and theoretical study of magnetic ordering and local atomic polarization in Ru-substituted Lu2Fe17
- auteur
- Evgenyia A. Tereshina, Olivier Isnard, A. Smekhova, A.V. Andreev, A. Rogalev, S. Khmelevskyi
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (9), pp.094420. ⟨10.1103/PhysRevB.89.094420⟩
- Accès au texte intégral et bibtex
-
- titre
- Residual and intentional n-type doping of ZnO thin films grown by metal-organic vapor phase epitaxy on sapphire and ZnO substrates
- auteur
- Stéphane Brochen, M. Lafossas, Ivan-Christophe Robin, Pierre Ferret, Frédérique Gemain, Julien Pernot, Guy Feuillet
- article
- Journal of Applied Physics, 2014, 115 (11), pp.113508. ⟨10.1063/1.4868591⟩
- Accès au bibtex
-
- titre
- Unidirectional charge-density-wave sliding in two-dimensional rare-earth tritellurides
- auteur
- A.A. Sinchenko, Pascal Lejay, Olivier Leynaud, Pierre Monceau
- article
- Solid State Communications, 2014, 188, pp.67. ⟨10.1016/j.ssc.2014.03.005⟩
- Accès au bibtex
-
- titre
- Geometric magnetic frustration in RE2O2S oxysulfides (RE = Sm, Eu and Gd)
- auteur
- V. Biondo, P.W.C. Sarvezuk, F.F. Ivashita, K.L. Silva, Andrea Paesano, Olivier Isnard
- article
- Materials Research Bulletin, 2014, 54, pp.41-47. ⟨10.1016/j.materresbull.2014.03.008⟩
- Accès au bibtex
-
- titre
- Structure and magnetic properties of the layered perovskite PbVO3
- auteur
- Okos Alexandru, Claire Colin, Céline Darie, Oana Raita, Pierre Bordet, Aurel Pop
- article
- Journal of Alloys and Compounds, 2014, 602, pp.265-268. ⟨10.1016/j.jallcom.2014.02.144⟩
- Accès au bibtex
-
- titre
- Cathodoluminescence of stacking fault bound excitons for local probing of the exciton diffusion length in single GaN nanowires
- auteur
- Gilles Nogues, Thomas Auzelle, Martien den Hertog, Bruno Gayral, Bruno Daudin
- article
- Applied Physics Letters, 2014, 104 (10), pp.102102. ⟨10.1063/1.4868131⟩
- Accès au texte intégral et bibtex
-
- titre
- X-ray magnetic circular dichroism in (Ge,Mn) compounds: experiments and modeling
- auteur
- Samuel Tardif, Andrey Titov, Emmanuel Arras, Ivetta Slipukhina, El-Kebir Hlil, Salia Cherifi, Yves Joly, Matthieu Jamet, André Barski, Joel Cibert, Erkin Kulatov, Y.A. Uspenskii, Pascal Pochet
- article
- Journal of Magnetism and Magnetic Materials, 2014, 354, pp.151-158. ⟨10.1016/j.jmmm.2013.10.037⟩
- Accès au texte intégral et bibtex
-
- titre
- Speciation and thermodynamic properties of manganese(II) chloride complexes in hydrothermal fluids: In situ XAS study
- auteur
- Y. Tian, B. Etschmann, Y. Mei, P. V. Grundler, Denis Testemale, Jean-Louis Hazemann, P. Elliott, Y. Ngothai, J. Brugger
- article
- Geochimica et Cosmochimica Acta, 2014, 129, pp.77-95. ⟨10.1016/j.gca.2013.12.003⟩
- Accès au bibtex
-
- titre
- Plasmonic interferometry: Probing launching dipoles in scanning-probe plasmonics
- auteur
- Oriane Mollet, Guillaume Bachelier, Cyriaque Genet, Serge Huant, Aurélien Drezet
- article
- Journal of Applied Physics, 2014, 115 (9), pp.093105. ⟨10.1063/1.4867395⟩
- Accès au bibtex
-
- titre
- Correction to Ultrafast Room Temperature Single-Photon Source from Nanowire-Quantum Dots
- auteur
- S. Bounouar, Miryam Elouneg-Jamroz, M. den Hertog, C. Morchutt, E. Bellet-Amalric, R. André, C. Bougerol, Y. Genuist, J.-P. Poizat, S. Tatarenko, K. Kheng
- article
- Nano Letters, 2014, 14 (3), pp.1693-1693. ⟨10.1021/nl5005724⟩
- Accès au bibtex
-
- titre
- Cu2ZnSn(S1−xSex)4 thin films for photovoltaic applications: Influence of the precursor stacking order on the selenization process
- auteur
- Giovanni Altamura, Louis Grenet, Catherine Bougerol, Eric Robin, David Kohen, Hélène Fournier, Arnaud Brioude, Simon Perraud, Henri Mariette
- article
- Journal of Alloys and Compounds, 2014, 588, pp.310 - 315. ⟨10.1016/j.jallcom.2013.11.068⟩
- Accès au bibtex
-
- titre
- Consolidation and DC magnetic properties of nanocrystalline Supermalloy/iron composite cores prepared by spark plasma sintering
- auteur
- B. V. Neamţu, I. Chicinaş, Olivier Isnard, I. Ciascai, F. Popa, T. F. Marinca
- article
- Journal of Magnetism and Magnetic Materials, 2014, 353, pp.6-10. ⟨10.1016/J.JMMM.2013.10.021⟩
- Accès au bibtex
-
- titre
- Resonant optical pumping of a Mn spin in a strain free quantum dot
- auteur
- Lucien Besombes, Hervé Boukari
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (8), pp.085315. ⟨10.1103/PhysRevB.89.085315⟩
- Accès au bibtex
-
- titre
- Plasmon scattering from holes: from single hole scattering to Young's experiment
- auteur
- T. Wang, Elizabeth Boer-Duchemin, G. Comtet, Eric Le Moal, Gérald Dujardin, Aurélien Drezet, Serge Huant
- article
- Nanotechnology, 2014, 25, pp.125202. ⟨10.1088/0957-4484/25/12/125202⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual photo-detector system for low phase noise microwave generation with femtosecond lasers
- auteur
- Wei Zhang, Signe Seidelin, Joshi Abhay, Shubo Datta, Giorgio Santarelli, Yann Le Coq
- article
- Optics Letters, 2014, 39 (5), pp.1204-1207. ⟨10.1364/OL.39.001204⟩
- Accès au bibtex
-
- titre
- Jahn-Teller, Polarity, and Insulator-to-Metal Transition in BiMnO3 at High Pressure
- auteur
- Mael Guennou, Pierre Bouvier, Pierre Toulemonde, Céline Darie, Céline Goujon, Pierre Bordet, Michael Hanfland, Jens Kreisel
- article
- Physical Review Letters, 2014, 112 (7), pp.075501. ⟨10.1103/PhysRevLett.112.075501⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase-sensitive transport at a normal metal-superconductor interface close to a Josephson junction
- auteur
- David Gosselin, Gaston Hornecker, Régis Mélin, Denis Feinberg
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (7), pp.075415. ⟨10.1103/PhysRevB.89.075415⟩
- Accès au bibtex
-
- titre
- Zr/oxidized diamond interface for high power Schottky diodes
- auteur
- Aboulaye Traoré, Pierre Muret, Alexandre Fiori, David Eon, Etienne Gheeraert, Julien Pernot
- article
- Applied Physics Letters, 2014, 104 (5), pp.052105. ⟨10.1063/1.4864060⟩
- Accès au texte intégral et bibtex
-
- titre
- System analysis of force feedback microscopy
- auteur
- Mario S. Rodriguès, Luca Costa, Joël Chevrier, Fabio Comin
- article
- Journal of Applied Physics, 2014, 115 (5), pp.054309. ⟨10.1063/1.4864127⟩
- Accès au bibtex
-
- titre
- Synthesis, crystal structure, vibrational properties and theoretical investigation of (N,N-dimethylbenzylammonium) trichlorocadmate(II)
- auteur
- Walid Amamou, H. Feki, Nassira Boudjada, Fatma Zouari
- article
- Journal of Molecular Structure, 2014, 1059, pp.169-175. ⟨10.1016/j.molstruc.2013.11.053⟩
- Accès au bibtex
-
- titre
- Structural and magnetoelectric interactions of (Ca, Mg)-doped polycrystalline multiferroic CuFeO< sub> 2
- auteur
- T. Elkhouni, M. Amami, Claire Colin, A. Ben Salah
- article
- Materials Research Bulletin, 2014, 53, pp.151-157. ⟨10.1016/j.materresbull.2014.01.035⟩
- Accès au bibtex
-
- titre
- Negative-ion production on carbon materials in hydrogen plasma: influence of the carbon hybridization state and the hydrogen content on H− yield
- auteur
- Ahmad Ahmad, Cedric Pardanaud, Marcel Carrère, Jean-Marc Layet, Gilles Cartry, Alix Gicquel, Pravin Kumar, David Eon, Cédric Jaoul, Richard Engeln
- article
- Journal of Physics D: Applied Physics, 2014, 47 (8), pp.085201. ⟨10.1088/0022-3727/47/8/085201⟩
- Accès au texte intégral et bibtex
-
- titre
- Improved conversion efficiency of as-grown InGaN/GaN quantum-well solar cells for hybrid integration
- auteur
- S. Valdueza-Felip, A. Mukhtarova, Louis Grenet, Catherine Bougerol, C. Durand, Joël Eymery, Eva Monroy
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2014, 7 (3), pp.032301. ⟨10.7567/APEX.7.032301⟩
- Accès au bibtex
-
- titre
- Structure and Thermal Stability of Bi(III) Oxy-Clusters in Aqueous Solutions
- auteur
- Joel Brugger, Blake Tooth, Barbara Etschmann, Weihua Liu, Denis Testemale, Jean-Louis Hazemann, Pascal V. Grundler
- article
- Journal of Solution Chemistry, 2014, 43 (2), pp.314-325. ⟨10.1007/s10953-014-0131-1⟩
- Accès au bibtex
-
- titre
- Strain-mediated coupling in a quantum dot-mechanical oscillator hybrid system
- auteur
- Inah Yeo, Pierre-Louis de Assis, Arnaud Gloppe, Eva Dupont-Ferrier, Pierre Verlot, Nitin S. Malik, Emmanuel Dupuy, Julien Claudon, Jean-Michel Gérard, Alexia Auffèves, Gilles Nogues, Signe Seidelin, Jean-Philippe Poizat, Olivier Arcizet, Maxime Richard
- article
- Nature Nanotechnology, 2014, 9 (2), pp.106. ⟨10.1038/nnano.2013.274⟩
- Accès au texte intégral et bibtex
-
- titre
- New tetragonal derivatives of cubic NaZn13-type structure: RNi6Si6 compounds, crystal structure and magnetic ordering (R=Y, La, Ce, Sm, Gd–Yb)
- auteur
- M. Pani, P. Manfrinetti, A. Provino, Fang Yuan, Y. Mozharivskyj, A.V. Morozkin, A.V. Knotko, A.V. Garshev, V.O. Yapaskurt, O. Isnard
- article
- Journal of Solid State Chemistry, 2014, 210 (1), pp.45-52. ⟨10.1016/j.jssc.2013.10.046⟩
- Accès au bibtex
-
- titre
- Vortex creep down to 0.3 K in superconducting Fe(Te,Se) single crystals
- auteur
- Thierry Klein, Hadrien Grasland, Hervé Cercellier, Pierre Toulemonde, C. Marcenat
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (1), pp.014514. ⟨10.1103/PhysRevB.89.014514⟩
- Accès au texte intégral et bibtex
-
- titre
- High pressure and high temperature in situ X-ray diffraction studies in the Paris-Edinburgh cell using a laboratory X-ray source
- auteur
- Pierre Toulemonde, Céline Goujon, Laetitia Laversenne, Pierre Bordet, Rémy Bruyère, Murielle Legendre, Olivier Leynaud, Alain Prat, Mohamed Mezouar
- article
- High Pressure Research, 2014, 34 (2), pp.167-175. ⟨10.1080/08957959.2013.873425⟩
- Accès au texte intégral et bibtex
-
- titre
- Frequency cavity pulling induced by a single semi-conducting quantum dot
- auteur
- Daniel Valente, Jan Suffczyński, Tomasz Jakubczyk, Adrien Dousse, Aristide Lemaître, Isabelle Sagnes, Loïc Lanco, Paul Voisin, Alexia Auffèves, Pascale Senellart
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (4), pp.041302. ⟨10.1103/PhysRevB.89.041302⟩
- Accès au bibtex
-
- titre
- Spectroscopic ellipsometry of homoepitaxial diamond multilayers and delta-doped structures
- auteur
- Jessica Bousquet, Gauthier Chicot, David Eon, Etienne Bustarret
- article
- Applied Physics Letters, 2014, 104 (2), pp.021905. ⟨10.1063/1.4861860⟩
- Accès au texte intégral et bibtex
-
- titre
- Synchronizing the dynamics of a single NV spin qubit on a parametrically coupled radio-frequency field through microwave dressing
- auteur
- Sven Rohr, Eva Dupont-Ferrier, Benjamin Pigeau, Pierre Verlot, Vincent Jacques, Olivier Arcizet
- article
- Physical Review Letters, 2014, 112 (1), pp.010502. ⟨10.1103/PhysRevLett.112.010502⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultralong and Defect-Free GaN Nanowires Grown by the HVPE Process
- auteur
- G. Avit, Kaddour Lekhal, Y. André, Catherine Bougerol, François Reveret, Joël Leymarie, E. Gil, Guillaume Monier, D. Castelluci, Agnès Trassoudaine
- article
- Nano Letters, 2014, 14 (2), pp.559. ⟨10.1021/nl403687h⟩
- Accès au bibtex
-
- titre
- Magnetic order of the La3NiGe2-type Tb3NiSi2
- auteur
- A. V. Morozkin, Olivier Isnard, Volodymyr Svitlyk, Y. Mozharivskyj
- article
- Journal of Magnetism and Magnetic Materials, 2014, 349, pp.201-207. ⟨10.1016/j.jmmm.2013.08.052⟩
- Accès au bibtex
-
- titre
- Electronic properties of E3 electron trap in n-type ZnO
- auteur
- Gauthier Chicot, Julien Pernot, Jean-Louis Santailler, Céline Chevalier, Carole Granier, Pierre Ferret, Alexandre Ribeaud, Guy Feuillet, Pierre Muret
- article
- physica status solidi (b), 2014, 251 (1), pp.206-210. ⟨10.1002/pssb.201349261⟩
- Accès au texte intégral et bibtex
-
- titre
- XAS and XRD in situ characterisation of reduction and reoxidation processes of iron corrosion products involved in atmospheric corrosion
- auteur
- Judith Monnier, Solenn Réguer, Eddy Foy, Denis Testemale, François Mirambet, Mandana Saheb, Philippe Dillmann, Ivan Guillot
- article
- Corrosion Science, 2014, 78, pp.293-303. ⟨10.1016/j.corsci.2013.10.012⟩
- Accès au bibtex
-
- titre
- An experimental comparison of four magnetocaloric regenerators using three different materials
- auteur
- Ulrich Legait, Frédéric Guillou, Afef Kedous-Lebouc, Vincent Hardy, Morgan Almanza
- article
- International Journal of Refrigeration, 2014, 37, pp.147.155. ⟨10.1016/j.ijrefrig.2013.07.006⟩
- Accès au bibtex
-
- titre
- Local band bending and grain-to-grain interaction induced strain nonuniformity in polycrystalline CdTe films
- auteur
- Vincent Consonni, N. Baier, O. Robach, C. Cayron, Fabrice Donatini, G. Feuillet
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (3), pp.35310. ⟨10.1103/PhysRevB.89.035310⟩
- Accès au bibtex
-
- titre
- Helical order and multiferroicity in the S = 1/2 quasi-kagome system KCu3As2O7(OD)3
- auteur
- G. J. Nilsen, Y. Okamoto, H. Ishikawa, Virginie Simonet, Claire Colin, Andres Cano, L. C. Chapon, T. Hansen, H. Mutka, Z. Hiroi
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (14), pp.140412(R). ⟨10.1103/PhysRevB.89.140412⟩
- Accès au texte intégral et bibtex
-
- titre
- Triple Co-II,Co- (III,) (IV) charge ordering and spin states in modular cobaltites: a systematization through experimental and virtual compounds
- auteur
- R. David, H. Kabbour, P. Bordet, D. Pelloquin, O. Leynaud, M. Trentesaux, O. Mentré
- article
- Journal of Materials Chemistry C, 2014, 2 (44), pp.9457-9466. ⟨10.1039/c4tc01486c⟩
- Accès au bibtex
-
- titre
- Direct measurement of the dielectric frame rotation of monoclinic crystals as a function of the wavelength
- auteur
- Christian Traum, Patricia Loren-Inacio, Corinne Felix, Patricia Segonds, Alexandra Pena, Jérome Debray, Benoit Boulanger, Yannick Petit, D. Rytz, G. Montemezzani, P. Goldner, Alban Ferrier
- article
- Optical Materials Express, 2014, 4 (1), pp.57-62. ⟨10.1364/OME.4.000057⟩
- Accès au bibtex
-
- titre
- Phase transitions and interface phenomena in the cryogenic temperature domain of a niobate nanostructured ceramic
- auteur
- S. Lanfredi, C. Darie, F. S. Bellucci, C. V. Colin, M. A. L. Nobre
- article
- Dalton Transactions, 2014, 43 (28), pp.10983-10998. ⟨10.1039/c4dt00623b⟩
- Accès au bibtex
-
- titre
- Micro- and nano-particle trapping using fibered optical nano-tweezers
- auteur
- Jean-Baptiste Decombe, Géraldine Dantelle, Thierry Gacoin, Francisco Valdivia-Valero, Gérard Colas Des Francs, Serge Huant, Jochen Fick
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2014, Optical Trapping and Optical Micromanipulation XI, 9164, pp.916430. ⟨10.1117/12.2061623⟩
- Accès au bibtex
-
- titre
- THz intersubband transitions in AlGaN/GaN multi‐quantum‐wells
- auteur
- Catherine Bougerol, Edith Bellet-Amalric, Eva Monroy, Mark Beeler
- article
- physica status solidi (a), 2014, 211 (4), pp.761. ⟨10.1002/pssa.201300431⟩
- Accès au bibtex
-
- titre
- Optical properties of Nd3+ and Yb3+ -doped metal iodates (AgM(IO3)4): transparent host matrices for mid-IR lasers and nonlinear materials
- auteur
- Delphine Phanon, Yan Suffren, Mohamed B. Taouti, Djamal Benbertal, Alain Brenier, Isabelle Gautier-Luneau
- article
- Journal of Materials Chemistry, 2014, 2 (15), pp.2715-2723. ⟨10.1039/c3tc32517b⟩
- Accès au bibtex
-
- titre
- M -Plane GaN/InAlN Multiple Quantum Wells in Core–Shell Wire Structure for UV Emission
- auteur
- Christophe Durand, Catherine Bougerol, Jean-François Carlin, Georg Rossbach, Florian Godel, J. Eymery, Pierre-Henri Jouneau, Anna Mukhtarova, Raphaël Butté, Nicolas Grandjean
- article
- ACS photonics, 2014, 1 (1), pp.38-46. ⟨10.1021/ph400031x⟩
- Accès au bibtex
-
- titre
- Optical trapping of microparticles using bare fiber nano-tips
- auteur
- Jean-Baptiste Decombe, Serge Huant, Jochen Fick
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2014, Nanophotonics V, 9126, pp.91260Q. ⟨10.1117/12.2052572⟩
- Accès au bibtex
-
- titre
- Influence of free carriers on exciton ground states in quantum wells
- auteur
- A.A. Klochikhin, V. P. Kochereshko, S. Tatarenko
- article
- Journal of Luminescence, 2014, 154, pp.310-315. ⟨10.1016/j.jlumin.2014.04.039⟩
- Accès au texte intégral et bibtex
-
- titre
- Unidirectional light propagation through two-layer nanostructures based on optical near-field interactions
- auteur
- Makoto Naruse, Hirokazu Hori, Satoshi Ishii, Aurelien Drezet, Serge Huant, Morihisa Hoga, Yasuyuki Ohyagi, Tsutomu Matsumoto, Naoya Tate, Motoichi Ohtsu
- article
- Journal of the Optical Society of America B, 2014, 31 (10), pp.2404. ⟨10.1364/JOSAB.31.002404⟩
- Accès au bibtex
-
- titre
- Constraints on the mobilization of Zr in magmatic-hydrothermal processes in subduction zones from in situ fluid-melt partitioning experiments
- auteur
- M. Louvel, C. Sanchez-Valle, W. J. Malfait, H. Cardon, D. Testemale, J.-L. Hazemann
- article
- The American Mineralogist, 2014, 99 (8-9), pp.1616-1625. ⟨10.2138/am.2014.4799⟩
- Accès au bibtex
-
- titre
- Selective Area Growth of Well-Ordered ZnO Nanowire Arrays with Controllable Polarity
- auteur
- Vincent Consonni, Eirini Sarigiannidou, Estelle Appert, Amandine Bocheux, Sophie Guillemin, Fabrice Donatini, Ivan-Christophe Robin, Joseph Kioseoglou, Florence Robaut
- article
- ACS Nano, 2014, 8 (5), pp.4761-4770. ⟨10.1021/nn500620t⟩
- Accès au bibtex
-
- titre
- Pseudo-square AlGaN/GaN quantum wells for terahertz absorption
- auteur
- M. Beeler, Catherine Bougerol, E. Bellet-Amalric, Eva Monroy
- article
- Applied Physics Letters, 2014, 105 (13), pp.131106. ⟨10.1063/1.4896768⟩
- Accès au bibtex
-
- titre
- Formation initiale des Enseignants de Physique-Chimie : l’Ouverture aux Nanosciences & Nanotechnologies
- auteur
- F. Dubreuil, A. Baudrant, Ch. Rambaud, F. Marchi
- article
- Journal sur l'enseignement des sciences et technologies de l'information et des systèmes, 2014, 13, pp.0001. ⟨10.1051/j3ea/2014001⟩
- Accès au bibtex
-
- titre
- Identifier les pigments et comprendre leurs propriétés à partir de la diffraction des rayons X
- auteur
- Pauline Martinetto, H. Rousselière, Philippe Walter
- article
- L'Actualité Chimique, 2014, 387-389, pp.170-174
- Accès au bibtex
-
- titre
- A neutron diffraction study of the R15Ge9C compounds (R = Ce, Pr, Nd)
- auteur
- Sophie Tencé, Olivier Isnard, Federico Wrubl, Pietro Manfrinetti
- article
- Journal of Alloys and Compounds, 2014, 594, pp.148-152. ⟨10.1016/j.jallcom.2014.01.115⟩
- Accès au bibtex
-
- titre
- Vanadium clustering/declustering in P2-Na1/2VO2 layered oxide
- auteur
- Marie Guignard, Dany Carlier, Matthew R. Suchomel, Erik Elkaïm, Pierre Bordet, Rodolphe Decourt, Jacques Darriet, Claude Delmas
- article
- Chemistry of Materials, 2014, 26 (4), pp.1538-1548. ⟨10.1021/cm403114k⟩
- Accès au bibtex
-
- titre
- Radio frequency spectroscopy of the attractive Hubbard model in a trap
- auteur
- Sanjoy Datta, Viveka Nand Singh, Pinaki Majumdar
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2014, 89 (5), pp.053609. ⟨10.1103/PhysRevA.89.053609⟩
- Accès au texte intégral et bibtex
-
- titre
- Anomalous electronic transport in Quasicrystals and related Complex Metallic Alloys
- auteur
- Guy Trambly de Laissardière, Didier Mayou
- article
- Comptes Rendus. Physique, 2014, 15 (1), pp.70-81. ⟨10.1016/j.crhy.2013.09.010⟩
- Accès au texte intégral et bibtex
-
- titre
- Electronic and physico-chemical properties of nanometric boron delta-doped diamond structures
- auteur
- Gauthier Chicot, Alexandre Fiori, P.N. Volpe, Thu Nhi Tran Thi, Jean-Claude Gerbedoen, Jessica Bousquet, M.P. Alegre, J.C. Pinero, D. Araujo, F. Jomard, Ali Soltani, Jean-Claude de Jaeger, J. Morse, J. Härtwig, Nicolas Tranchant, C. Mer-Calfati, Jean-Charles Arnault, Julien Delahaye, Thierry Grenet, David Eon, Franck Omnès, Julien Pernot, Etienne Bustarret
- article
- Journal of Applied Physics, 2014, 116, pp.083702. ⟨10.1063/1.4893186⟩
- Accès au texte intégral et bibtex
-
- titre
- Electron transport in quantum wire superlattices
- auteur
- Thomas Grange
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (16), pp.165310. ⟨10.1103/PhysRevB.89.165310⟩
- Accès au texte intégral et bibtex
-
- titre
- Morphology control and fabrication of multi-shelled NiO spheres by tuning the pH value via a hydrothermal process
- auteur
- Lihua Chu, Meicheng Li, Zipei Wan, Lei Ding, Dandan Song, Shangyi Dou, Jiewei Chen, Yu Wang
- article
- CrystEngComm, 2014, 16 (48), pp.11096-11101. ⟨10.1039/c4ce01718h⟩
- Accès au bibtex
-
- titre
- Spectroscopic investigation of local mechanical impedance of living cells
- auteur
- Luca Costa, Mario S. Rodrigues, Núria Benseny-Cases, Véronique Mayeux, Joel Chevrier, Fabio Comin
- article
- PLoS ONE, 2014, 9 (7), pp.e101687. ⟨10.1371/journal.pone.0101687⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical near-field-mediated polarization asymmetry induced by two-layer nanostructures
- auteur
- Aurelien Drezet, Makoto Naruse, Naoya Tate, Yasuyuki Ohyagi, Morihisa Hoga, Tsutomu Matsumoto, Hirokazu Hori, Motoichi Ohtsu, Serge Huant
- article
- Optics Express, 2014, 21 (19), pp.21857. ⟨10.1364/OE.21.021857⟩
- Accès au bibtex
-
- titre
- Order quantification of hexagonal periodic arrays fabricated by in situ solvent-assisted nanoimprint lithography of block copolymers
- auteur
- C. Simao, W. Khunsin, N. Kehagias, M. Salaün, M. Zelsmann, M. A. Morris, C. M. Sotomayor Torres
- article
- Nanotechnology, 2014, 25 (17), pp.175703. ⟨10.1088/0957-4484/25/17/175703⟩
- Accès au bibtex
-
- titre
- Tunnel diode oscillator measurements of the upper critical magnetic field of FeTe0:5Se0:5
- auteur
- Alain Audouard, Loïc Drigo, Fabienne Duc, Xavier Fabrèges, Ludovic Bosseaux, Pierre Toulemonde
- article
- Journal of Physics: Condensed Matter, 2014, 26 (18), pp.185701. ⟨10.1088/0953-8984/26/18/185701⟩
- Accès au texte intégral et bibtex
-
- titre
- Lattice and spin excitations in multiferroic h-YMnO3
- auteur
- Constance Toulouse, Jiaji Liu, Yann Gallais, Marie-Aude Measson, Alain Sacuto, Maximilien Cazayous, Laura Chaix, Virginie Simonet, Sophie de Brion, Loreynne Pinsard-Godart, Fabrice Willaert, Jean-Blaise Brubach, Pascale Roy, Sylvain Petit
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (9), pp.094415. ⟨10.1103/PhysRevB.89.094415⟩
- Accès au bibtex
-
- titre
- Alternative back contacts in kesterite Cu 2 ZnSn(S 1-x Se x ) 4 thin film solar cells
- auteur
- G. Altamura, L. Grenet, Charlotte Roger, F. Roux, V. Reita, R. Fillon, H. Fournier, S. Perraud, H. Mariette
- article
- Journal of Renewable and Sustainable Energy, 2014, 6 (1), pp.011401. ⟨10.1063/1.4831781⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantized form factor shift in the presence of free electron laser radiation
- auteur
- F. Fratini, L. Safari, A. G. Hayrapetyan, K. Jänkälä, P. Amaro, J. P. Santos
- article
- EPL - Europhysics Letters, 2014, 107 (1), pp.13002. ⟨10.1209/0295-5075/107/13002⟩
- Accès au texte intégral et bibtex
-
- titre
- MgH2 thin films deposited by one-step reactive plasma sputtering
- auteur
- H. Le-Quoc, A. Lacoste, S. Miraglia, Stéphane Béchu, A. Bès, L. Laversenne
- article
- International Journal of Hydrogen Energy, 2014, 39 (31), pp.17718-17725. ⟨10.1016/j.ijhydene.2014.08.096⟩
- Accès au bibtex
-
- titre
- Pulsed transfer etching of PS–PDMS block copolymers self-assembled in 193 nm lithography stacks
- auteur
- C. Girardot, S. Böhme, S. Archambault, M. Salaün, E. Latu-Romain, G. Cunge, O. Joubert, M. Zelsmann
- article
- ACS Applied Materials & Interfaces, 2014, 18 (6), pp.16276-16282. ⟨10.1021/am504475q⟩
- Accès au bibtex
-
- titre
- Poly[diaquatris(μ 6 -4,6-dioxo-1,4,5,6-tetrahydro-1,3,5-triazine-2-carboxylato)tripotassium]
- auteur
- Sarra Soudani, Emmanuel Aubert, Emmanuel Wenger, Christian Jelsch, Isabelle Gautier-Luneau, Cherif Ben Nasr
- article
- Acta Crystallographica Section E : Structure Reports Online [2001-2014], 2014, 70 (5), pp.m174-m175. ⟨10.1107/S1600536814007569⟩
- Accès au texte intégral et bibtex
-
- titre
- Doping and interface of homoepitaxial diamond for electronic applications
- auteur
- S. Yamasaki, Etienne Gheeraert, Yasuo Koide
- article
- MRS Bulletin, 2014, 39 (6), pp.499. ⟨10.1557/mrs.2014.100⟩
- Accès au bibtex
-
- titre
- Effect of the quantum well thickness on the performance of InGaN photovoltaic cells
- auteur
- L Redaelli, A Mukhtarova, S Valdueza-Felip, A Ajay, C. Bougerol, C. Himwas, J Faure-Vincent, C Durand, J Eymery, E Monroy
- article
- Applied Physics Letters, 2014, 105 (13), pp.131105. ⟨10.1063/1.4896679⟩
- Accès au texte intégral et bibtex
-
- titre
- Model implementation towards the prediction of J(V) characteristics in diamond bipolar device simulations
- auteur
- Aurélien Maréchal, Nicolas Clément, Jean-Paul Rouger, Jean-Christophe Crébier, Julien Pernot, Satoshi Koizumi, Tokuyuki Teraji, Etienne Gheeraert
- article
- Diamond and Related Materials, 2014, 43, pp.34. ⟨10.1016/j.diamond.2014.01.009⟩
- Accès au bibtex
-
- titre
- Electrical Conductance of Bolted Copper Joints for Cryogenic Applications
- auteur
- Florent Blondelle, Ahmad Sultan, Eddy Collin, Henri Godfrin
- article
- Journal of Low Temperature Physics, 2014, 175 (5), pp.877-887. ⟨10.1007/s10909-014-1142-4⟩
- Accès au texte intégral et bibtex
-
- titre
- Cylindrical vector beams of light from an electrically excited plasmonic lens
- auteur
- Shuiyan Cao, Eric Le Moal, Elizabeth Boer-Duchemin, Gérald Dujardin, Aurélien Drezet, Serge Huant
- article
- Applied Physics Letters, 2014, 105 (11), pp.111103. ⟨10.1063/1.4895769⟩
- Accès au texte intégral et bibtex
-
- titre
- Observation of quantum interference in the plasmonic Hong-Ou-Mandel effect
- auteur
- G. Di Martino, Yannick Sonnefraud, M. S. Tame, S. Kéna-Cohen, F. Dieleman, Ş. K. Özdemir, M. S. Kim, S. A. Maier
- article
- Physical Review Applied, 2014, 1 (3), pp.034004. ⟨10.1103/PhysRevApplied.1.034004⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Développement et étude de la croissance de graphène sur substrats de cobalt par CVD à pression atmosphérique
- auteur
- Olivier Duigou, Mathieu Pinault, Nedjma Bendiab, Zheng (vitto) Han, Eric Mossang, Philippe David, Olivier Sublemontier, Johann Coraux, Martine Mayne-L'Hermite
- article
- Matériaux 2014, Nov 2014, Montpellier, France
- Accès au bibtex
-
- titre
- Extraction de lumière dans des matériaux nanostructurés
- auteur
- Géraldine Dantelle, Lucie Devys, Blaise Fleury, Henri Benisty, Thierry Gacoin
- article
- Matériaux 2014, Nov 2014, Montpellier, France
- Accès au bibtex
-
- titre
- Selective Area Growth of Well-Ordered Epitaxial ZnO Nanowire Arrays with Controllable Polarity
- auteur
- E. Sarigiannidou, E. Appert, S. Guillemin, A. Bocheux, F. Donatini, F. Robaut, I.C. Robin, J. Kioseoglou, Vincent Consonni
- article
- Matériaux 2014, Nov 2014, Montpellier, France
- Accès au bibtex
-
- titre
- Research on magnetoelectric perovskites at Institut Néel : high pressure synthesis, physical property measurements and detailed structural investigations
- auteur
- Pierre Bordet
- article
- CFSAM−4, Oct 2014, Beijing, China
- Accès au bibtex
-
- titre
- Evolution of the physico-chemical properties of nanostructured MgH2 composites upon hydriding cycle
- auteur
- Patricia de Rango, Simeon Nachev, Baptiste Delhomme, Daniel Fruchart, Philippe Marty
- article
- International Conference on Hydrogen Storage, Embrittlement and Applications (Hy-SEA 2014), Oct 2014, Rio de Janeiro, Brazil
- Accès au bibtex
-
- titre
- Reversibility and cyclability of hydrides thin films
- auteur
- Laetitia Laversenne, Ana Lacoste
- article
- HYSEA Conference, Oct 2014, Rio de Janeiro, Brazil
- Accès au bibtex
-
- titre
- New state of light from third order parametric down conversion processes
- auteur
- Benoit Boulanger, Adrien Borne, Juan Ariel Levenson, Patricia Segonds, Corinne Felix
- article
- IEEE Photonics Conference, San Diego, 12-14 October 2014., Oct 2014, San Diego, United States
- Accès au bibtex
-
- titre
- Synthèse sous haute pression du composé skuttérudite SmPt4Ge12
- auteur
- Christine Opagiste, Rose-Marie Galéra, Murielle Legendre, Céline Goujon
- article
- Cristech 2014, Oct 2014, Autrans, France
- Accès au bibtex
-
- titre
- NEMS/MEMS generators, thermoelectric devices and pumps
- auteur
- Laurent Montès, Ran Tao, Ronan Hinchet, Xin Xu, Alexis Potié, Gustavo Ardila, Mireille Mouis, Rudeesun Songmuang, Thierry Baron, Sergio Silveira Stein, David Hauser, Pascal Faucherand, G. Savelli
- article
- International Conference "Micro- and Nanoelectronics 2014" (ICMNE-2014), Oct 2014, Zvenigorod, Russia
- Accès au bibtex
-
- titre
- On the interest of piezoelectric NEMS for sensing and energy harvesting: from size to non-linear effects (invited)
- auteur
- Laurent Montès, Ran Tao, Ronan Hinchet, Xin Xu, Alexis Potie, Gustavo Ardila, Mireille Mouis, Rudeesun Songmuang, Thierry Baron
- article
- Piezoelectric Micro and Nano Structures and their Applications, C. Oshman & G. Poulin-Vitrant, Sep 2014, Blois, France
- Accès au bibtex
-
- titre
- Well-organized ZnO nanowire arrays with controllable polarity by selective area growth
- auteur
- Amandine Bocheux, Estelle Appert, Sophie Guillemin, F. Donatini, Florence Robaut, I.C. Robin, J. Kioseoglou, Eirini Sarigiannidou, Vincent Consonni
- article
- E-MRS Fall Meeting, Sep 2014, Warsaw, Poland
- Accès au bibtex
-
- titre
- History of crystallography, today's challenges and how they are addressed in Grenoble
- auteur
- Jean-Louis Hodeau
- article
- IYCr2014, Sep 2014, Grenoble, France
- Accès au bibtex
-
- titre
- Correlation of Optoelectronic and Transport Properties of GaN/AlN Nanowires with Polarity and Crystal Structure
- auteur
- M. den Hertog
- article
- International Microscopy Conference, Sep 2014, Prague, Czech Republic
- Accès au bibtex
-
- titre
- An original 1D transversal magnetothermal cycle model for preliminary design of AMR regenerator
- auteur
- Morgan Almanza, Afef Kedous-Lebouc, Salvatore Miraglia
- article
- 6th IIR/IIF International Conference on Magnetic Refrigeration THERMAG VI, Sep 2014, Victoria, Canada
- Accès au texte intégral et bibtex
-
- titre
- Towards a consistent thermodynamic model of magnetocaloric material from experimental characterization
- auteur
- Morgan Almanza, Afef Kedous-Lebouc, Vincent Hardy, Salvatore Miraglia
- article
- 6th IIR/IIF International Conference on Magnetic Refrigeration THERMAG VI, Sep 2014, Victoria, Canada
- Accès au texte intégral et bibtex
-
- titre
- Determining natural versus cultural coloured rocks (‘pigments’) at Nawarla Gabarnmang, a 48,000-50,000 year old Aboriginal site in northern Australia
- auteur
- Géraldine Castets, Emilie Chalmin, Bruno David, Jean-Jacques Delannoy, Jean Michel Geneste, Anne-Lise Develle, Fayçal Soufi, Pauline Martinetto, S. Pairis, Sophie Cersoy, R. G Gunn, Elisa Boche, Stéphane Hoerlé, Bryce Barker, M. Katherine
- article
- XVIIe Congrès Mondial de l’UISPP (Union des Sciences Préhistoriques et Protohistoriques), Sep 2014, Burgos, Spain
- Accès au bibtex
-
- titre
- Nucleation and growth mechanisms of ZnO nanowires with controllable polarity by chemical bath deposition
- auteur
- S. Guillemin, E. Appert, E. Sarigiannidou, A. Bocheux, H. Roussel, L. Rapenne, F. Donatini, G. Bremond, Vincent Consonni
- article
- 8th Nanowire Growth Workshop, Nanowires 2014, Aug 2014, Eindhoven, Netherlands
- Accès au bibtex
-
- titre
- Direct measurement of the dielectric frame rotation in monoclinic crystals as a function of the wavelength
- auteur
- Patricia Loren-Inacio, Christian Traum, Corinne Felix, Patricia Segonds, Benoit Boulanger, Jérôme Debray, Alexandra Pena, Yannick Petit, Daniel Ritz, Germano Montemezzani, Philippe Godner, Alban Ferrier
- article
- EUROPHOTON 6th, Aug 2014, Neuchâtel, Switzerland
- Accès au bibtex
-
- titre
- PDF Investigation of the Crystal to Glass Transformation of −trehalose Induced by Milling
- auteur
- Pierre Bordet, William Pagnoux, Pauline Martinetto, Jean-Francois Willart, Emeline Dudognon
- article
- Condensed Matter in Paris, Aug 2014, Paris, France
- Accès au bibtex
-
- titre
- The Experience of 'Voyage dans le cristal', A travelling museum exhibition
- auteur
- Jean Louis Hodeau
- article
- IUCr-XXIII : International Congress of Crystallography, Aug 2014, Montreal, Canada
- Accès au bibtex
-
- titre
- Structure, electric and magnetic properties of Pb(V1−xMx)O3, M=Ti, Fe compounds
- auteur
- Pierre Bordet, Claire Colin, C. Darie, Okos Alexandru, Aurel Pop
- article
- IUCr2014, Aug 2014, Montreal, Canada
- Accès au bibtex
-
- titre
- A new Li-Mn-Ge-O phase solved by combining 3D electron diffraction methods
- auteur
- Holger Klein, Stéphanie Kodjikian, Christophe Lepoittevin, Lei Ding, Claire Colin, C. Darie, Pierre Bordet
- article
- International Union of Crystallography XXIII, Aug 2014, Montreal, Canada
- Accès au bibtex
-
- titre
- Correlation between microstructural and mechanical behavior of nanostructured MgH2 upon hydrogen cycling
- auteur
- Patricia de Rango, Simeon Nachev, Daniel Fruchart, Nataliya Skryabina, Philippe Marty
- article
- International Symposium on Metal Hydrogen Systems (MH2014), Jul 2014, Manchester, United Kingdom
- Accès au bibtex
-
- titre
- Investigation of Mg2(Si,Sn) thin films for integrated thermoelectric devices
- auteur
- C. Prahoveanu, A. Lacoste, C. de Vaulx, K. Azzouz, Stéphane Béchu, L. Laversenne
- article
- International Conference on Thermoelectrics (ICT2014), Jul 2014, Nashville, United States
- Accès au bibtex
-
- titre
- Interpretation" of capacitance measurements on kesterite based solar cells
- auteur
- Raphaël Fillon, Louis Grenet, Giovanni Altamura, Hélène Fournier, Frédérique Ducroquet, Henri Mariette
- article
- 2ièmes rencontres françaises sur les Kësterites pour applications photovoltaïques: Fabrication, analyses, modélisation et cellules photovoltaïques, Jun 2014, Grenoble, France
- Accès au bibtex
-
- titre
- Structure, electric and magnetic properties of Pb(V1−xMx)O3, M=Ti, Fe compounds
- auteur
- Pierre Bordet, Okos Alexandru, Claire V Colin, C. Darie, Aurel Pop
- article
- EPDIC14, Jun 2014, Aarhus, Denmark
- Accès au bibtex
-
- titre
- Développement et étude de la croissance de graphène sur substrats de cobalt par CVD à pression atmosphérique
- auteur
- Olivier Duigou, Mathieu Pinault, Nedjma Bendiab, Zheng (vitto) Han, Eric Mossang, Philippe David, Olivier Sublemontier, Johann Coraux, Martine Mayne-L'Hermite
- article
- GFEC 2014 (groupe Français d'Etude des carbones), May 2014, Nouan le Fuzelier, France
- Accès au bibtex
-
- titre
- Reversibility of high-capacity hydride thin films deposited by reactive PAPVD
- auteur
- L. Laversenne, H. Le-Quoc, M. Coste, A. Lacoste
- article
- International Discussion on Hydrogen Energy and Applications (idHea), May 2014, Nantes, France
- Accès au bibtex
-
- titre
- Observing interferences between past and future quantum states
- auteur
- Landry Bretheau, Philippe Campagne-Ibarcq, Emmanuel Flurin, Alexia Auffèves, François Mallet, Benjamin Huard
- article
- Quantum Information and Measurement, Optical Society, Mar 2014, Berlin, Germany
- Accès au bibtex
-
- titre
- Les développements du stockage d'hydrogène
- auteur
- Patricia de Rango
- article
- La contribution de la chimie au développement des énergies renouvelables : les réalités d'aujourd'hui, Institut Français du Pétrole Energies Renouvelables (IFPEN), Mar 2014, Rueil Malmaison, France
- Accès au bibtex
-
- titre
- Observing interferences between past and future quantum states in resonance fluorescence
- auteur
- Landry Bretheau, Philippe Campagne-Ibarcq, Emmanuel Flurin, Alexia Auffèves, François Mallet, Benjamin Huard
- article
- American Physical Society March Meeting, Mar 2014, Denver, United States
- Accès au bibtex
-
- titre
- Growth of magnesium hydride assisted by distributed microwave plasma sources: performance improvement through control of process parameters
- auteur
- A. Lacoste, L. Laversenne
- article
- 8th International Conference on Reactive Plasmas - 31st Symposium on Plasma Processing (ICRP-8/SPP-31), Feb 2014, Fukuoka, Japan
- Accès au bibtex
-
- titre
- Comparison of atomic layer deposited HfO2, ZrO2 and Al2O3 on O-terminated boron doped diamond
- auteur
- Aurélien Maréchal, Gauthier Chicot, Nicolas Clément, Jean-Paul Rouger, Julien Pernot, Etienne Gheeraert
- article
- SBDD 2014, Feb 2014, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Evolution of nanostructured MgH2 composites upon hydrogen cycles
- auteur
- Patricia de Rango, Simeon Nachev, Daniel Fruchart, Nataliya Skryabina, Philippe Marty
- article
- Materials Challenges in Alternative and Renewable Energy (MCARE 2014), Jan 2014, Claerwater, Florida, United States
- Accès au bibtex
-
- titre
- Composition, geometry and polarization influences on spectroscopic properties of Yb-doped LLnB (Ln= Y, Gd) monoclinic crystals
- auteur
- M. Chavoutier, H. Ajrouche, Y. Petit, A. Garcia, P. Veber, A. Fargues, O. Viraphong, V. Jubera, I. Manek-Honninger, P. Segonds, Jérôme Debray, B. Menaert, V. Rodriguez, F. Adamietz
- article
- SPIE LASE, 2014, San Francisco, United States. pp.89591M, ⟨10.1117/12.2039496⟩
- Accès au bibtex
-
- titre
- Diamond as substrate for 3C-SiC growth: A TEM study
- auteur
- F. Lloret, José Carlos Pinero, Daniel Araujo, M.P. Villar, Etienne Gheeraert, A. Vo-Ha, V. Souliere, M. Rebaud, Davy Carole, Gabriel Ferro
- article
- SBDD, 2014, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Directed self-assembly of PS-b-PDMS into 193nm photoresist patterns and transfer into silicon by plasma etching
- auteur
- Gottlieb Oehrlein, Qinghuang Lin, Ying Zhang, Sophie Archambault, Cécile Girardot, Mathieu Salaün, Michael Delalande, Sophie Böhme, Gilles Cunge, Erwine Pargon, Olivier Joubert, Marc Zelsmann
- article
- SPIE Advanced Lithography, 2014, San Jose, United States. ⟨10.1117/12.2047287⟩
- Accès au bibtex
-
- titre
- AICr 2014 en France
- auteur
- Jean-Louis Hodeau
- article
- AICr 2014, 2014, St Etienne, France
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Scanning Probe Electronic Imaging of Lithographically Patterned Quantum Rings
- auteur
- F. Martins, Hermann Sellier, M. Pala, B. Hackens, V. Bayot, S. Huant
- article
- Ed. by V. Fomin. Physics of Quantum Rings, pp.107-121, 2014, Nanoscience and Nanotechnology, 978-3-642-39196-5. ⟨10.1007/978-3-642-39197-2⟩
- Accès au bibtex
-
- titre
- Application de la Diffraction des Rayons X en Archéométrie
- auteur
- Solenn Reguer, Pauline Martinetto
- article
- Dillmann Philippe; Bellot-Gurlet Ludovic. Circulation et provenance des matériaux dans les sociétés anciennes, Éditions des Archives Contemporaines, pp.360, 2014, 978-2-8130-0163-4
- Accès au bibtex
-
- titre
- De l’émerveillement à la naissance d’une science
- auteur
- Jean-Louis Hodeau
- article
- Gemmes, une brillante histoire, Conservation du Patrimoine en Isère Musée Dauphinois, 2014
- Accès au bibtex
-
HDR
- titre
- Optique quantique en environnement solide
- auteur
- Alexia Auffèves
- article
- Physique Quantique [quant-ph]. Université Joseph Fourier, 2014
- Accès au texte intégral et bibtex
-
Autre publication scientifique
- titre
- PiezoNEMS pour les systèmes autonomes : des capteurs aux nanogénérateurs
- auteur
- Laurent Montes, Ronan Hinchet, Xin Xu, A. Potié, Bogdan Bercu, R. Tao, Florent Rochette, P. Morfouli, Gustavo Ardila, Mireille Mouis, R. Songmuang, Thierry Baron
- article
- 2014
- Accès au bibtex
-
- titre
- Exposition itinérante « A Journey into Crystals »
- auteur
- Jean Louis Hodeau
- article
- Exposition itinérante « A Journey into Crystals », 2014
- Accès au bibtex
-
Brevet
- titre
- Composés Organosilanes Polysilylés
- auteur
- Michel Wong Chi Man, Kristýna Bürglová, Xavier Cattoen, Jana Hodačová
- article
- France, N° de brevet: FR-12 56549. 2014, pp.90
- Accès au bibtex
-
Poster de conférence
- titre
- Photoluminescent organosilicas containing bis-malonamide complexes of Ln3+ salts
- auteur
- Vânia Freitas, Rute A. S. Ferreira, L.S. Fu, Luis D. Carlos, John R. Bartlett, Xavier Cattoën, M. Wong Chi Man, Rozenn Le Parc, Jean-Louis Bantignies
- article
- Matériaux 2014, Nov 2014, Montpellier, France
- Accès au bibtex
-
- titre
- Intermodal third harmonic generation in anisotropic germanium-doped silica optical fiber
- auteur
- Adrien Borne, Katsura Tomotaka, Benoit Boulanger, Corinne Felix, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson
- article
- Advanced Solid-State Lasers (ASSL), Nov 2014, Shangai, China
- Accès au bibtex
-
- titre
- Direct measurement of the dielectric frame rotation of potential monoclinic crystals as a function of the wavelength
- auteur
- Patricia Loren-Inacio, Christian Traum, Corinne Felix, Patricia Segonds, Benoit Boulanger, Jérôme Debray, Alexandra Peña, Yannick Petit, Daniel Ritz, Germano Montemezzani, Philippe Goldner, Alban Ferrier
- article
- Advanced Solid-State Lasers (ASSL), Nov 2014, Shangai, China
- Accès au bibtex
-
- titre
- Stabilité thermique et propriétés thermoélectriques des couches minces Mg2(Si,Sn) déposées par co-pulvérisation assistée par plasma micro-onde
- auteur
- C. Prahoveanu, A. Lacoste, C. De Vaulx, K. Azzouz, Stéphane Béchu, L. Laversenne
- article
- 12èmes Journées d’Echange du Réseau Plasmas Froids, Oct 2014, La Rochelle, France
- Accès au bibtex
-
- titre
- Intermodal Third Harmonic Generation In Germanium-Doped Silica Optical Fiber
- auteur
- Adrien Borne, Katsura Tomotaka, Benoit Boulanger, Corinne Felix, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson
- article
- EUROPHOTON 6th, Aug 2014, Neuchâtel, Switzerland
- Accès au bibtex
-
- titre
- Phase-matching properties of the Langatate La3Ga5.5Ta0.5O14 : a new potential nonlinear crystal generating up to 6 µm
- auteur
- Elodie Boursier, Patricia Segonds, Corinne Felix, Bertrand Ménaert, Jérôme Debray, Benoit Boulanger, Dmitry Roshchupkin
- article
- EUROPHOTON 6th, Aug 2014, Neuchâtel, Switzerland
- Accès au bibtex
-
- titre
- Anisotropy of phase-matched third harmonic generation in germanium-doped silica optical fiber
- auteur
- Adrien Borne, Katsura Tomotaka, Benoit Boulanger, Corinne Felix, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson
- article
- Nonlinear Photonics, Jul 2014, Barcelona, Spain
- Accès au bibtex
-
- titre
- Luminescent coatings based on ethane tetracarboxamide containing Eu3+ and tta ligand: Application for Luminescent Solar Concentrators
- auteur
- Vânia Freitas, L.S. Fu, Ana Cojocariu, Xavier Cattoen, John R. Bartlett, Rozenn Le Parc, Jean-Louis Bantignies, M. Wong Chi Man, R.A.S. Ferreira, L.D. Carlos
- article
- ICL'14 - The 17th International Conference on Luminescence and Optical Spectroscopy of Condensed Mat, Jul 2014, Wroclaw, Poland
- Accès au bibtex
-
- titre
- The ThomX project status
- auteur
- A. Variola, D. Auguste, A. Blin, J. Bonis, Sofiene Bouaziz, C. Bruni, K. Cassou, I. Chaikovska, S. Chancé, V. Chaumat, R. Chiche, P. Cornebise, O. Dalifard, N. Delerue, T. Demma, I. Drebot, K. Dupraz, M. El Khaldi, N. El Khamci, P. Gauron, A. Gonnin, E. Guerard, J. Haissinski, M. Jacquet, D. Jehanno, M. Jouvin, E. Jules, F. Labaye, M. Lacroix, M. Langlet, D. Le Guidec, F. Letellier, P. Lepercq, R. Marie, J.C. Marrucho, A. Martens, E. Mistretta, B. Mercier, H. Monard, Y. Peinaud, A. Perus, B. Pieyre, E. Plaige, C. Prevost, T. Roulet, R. Roux, V. Soskov, A. Stocchi, C. Vallerand, A. Vermes, F. Wicek, Y. Yan, J. Zhang, F. Zomer, M.El Ajjouri, P. Alexandre, C. Benhabderrahmane, F. Bouvet, M.E. Couprie, L. Cassinari, Y. Dietrich, P. Deblay, Mame Andallah Diop, M.P. Gacoin, C. Herbeaux, N. Hubert, M. Labat, P. Lebasque, A. Lestrade, R. Lopes, A. Loulergue, P. Marchand, F. Marteau, D. Muller, A. Nadji, R. Nagaoka, J.P. Pollina, F. Ribeiro, M. Ros, R. Sreedharan, Cyril Bruyère, A. Cobessi, W. Del-Net, J.L. Hazemann, J.L. Hodeau, P. Jeantet, J. Lacipiere, O. Proux, E. Cormier, J.L. Lhermite, A. Bravin, G. Le Duc, J. Susini, Laurence de Viguerie, H. Rousselière, P. Walter, H. Elleaume, F. Esteve, J.M. Horodinsky, N. Pawels, P. Robert, S. Sierra
- article
- Christine Petit-Jean-Genaz; Gianluigi Arduini; Peter Michel; Volker RW Schaa. 5th International Particle Accelerator Conference IPAC'14, Jun 2014, Dresden, Germany. Joint Accelerator Conferences Website, WEPRO052, pp.2062-2064, 2014
- Accès au texte intégral et bibtex
-
- titre
- Can X-Ray Diffraction Computed Tomography be achieved on a generic laboratory diffractometer?
- auteur
- Olivier Leynaud, Pierre Bordet, Géraldine Castets, Sophie Cersoy, Emilie Chalmin, Jean Louis Hodeau, Pauline Martinetto
- article
- EPDIC14 (European Powder Diffraction Conference), Jun 2014, Aarhus, Denmark
- Accès au bibtex
-
- titre
- Couches minces thermoélectriques Mg2(Si,Sn) déposées par co-pulvérisation assistée par plasma micro-onde
- auteur
- C. Prahoveanu, A. Lacoste, C. de Vaulx, K. Azzouz, Stéphane Béchu, L. Laversenne
- article
- Ecole Thermoélectricité 2014, 2014, Annecy, France
- Accès au bibtex
-
Thèse
- titre
- Nano-optomécanique au coeur d'un faisceau laser focalisé : cartographie du champ de force optique et action en retour bidimensionnelle
- auteur
- Arnaud Gloppe
- article
- Autre [cond-mat.other]. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENY080⟩
- Accès au texte intégral et bibtex
-
- titre
- High power diamond Schottky diode
- auteur
- Aboulaye Traoré
- article
- Micro and nanotechnologies/Microelectronics. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENT093⟩
- Accès au texte intégral et bibtex
-
- titre
- Hybrid spin-nanomechanical systems in parametric interaction
- auteur
- Sven Rohr
- article
- Physics [physics]. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENY046⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanocristaux organiques enrobés d'une coquille silicatée pour la réalisation de traceurs fortement fluorescents pour l'imagerie médicale
- auteur
- Josephine Zimmermann
- article
- Agrégats Moléculaires et Atomiques [physics.atm-clus]. Université Grenoble Alpes, 2014. Français. ⟨NNT : 2014GRENV048⟩
- Accès au texte intégral et bibtex
-
- titre
- Couches minces de langasite pour applications piézoélectriques : élaboration par chimie douce et caractérisation
- auteur
- Mehdi Mevel
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENA029⟩
- Accès au texte intégral et bibtex
-
- titre
- La réfrigération magnétique : conceptualisation, caractérisation et simulation
- auteur
- Morgan Almanza
- article
- Autre. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENT105⟩
- Accès au texte intégral et bibtex
-
- titre
- Ingénierie moléculaire de nouveaux émetteurs à l'état solide et élaboration de nanoparticules coeur-coquilles pour l'imagerie médicale
- auteur
- Gwenaelle Eucat
- article
- Chimie organique. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENV035⟩
- Accès au texte intégral et bibtex
-
- titre
- Triple photons through third-order nonlinear optics
- auteur
- Adrien Borne
- article
- Physics [physics]. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENY071⟩
- Accès au texte intégral et bibtex
-
- titre
- Croissance de nanofils III-V par épitaxie par jets moléculaires
- auteur
- Thanh Giang Le Thuy
- article
- Autre [cond-mat.other]. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENY015⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure et propriétés physiques de composés magnétiques de type RT12B6 et (Hf,Ta)Fe2 et leur dépendance en fonction de la pression (physique ou chimique) (R=élément de terre rare et T=élément de transition 3d)
- auteur
- Léopold Vincent Birane Diop
- article
- Autre [cond-mat.other]. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENY011⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis and characterization of Pb(V1-xMx)O3 compounds
- auteur
- Alexandru Okos
- article
- Materials Science [cond-mat.mtrl-sci]. Université de Grenoble; Universitatea Babeș-Bolyai (Cluj-Napoca, Roumanie), 2014. English. ⟨NNT : 2014GRENY050⟩
- Accès au texte intégral et bibtex
-
2013
Article dans une revue
- titre
- Conductivity of graphene with resonant adsorbates: beyond the nearest neighbor hopping model
- auteur
- Guy Trambly de Laissardière, Didier Mayou
- article
- Advances in Natural Sciences : Nanoscience and Nanotechnology, 2013, 5 (1), pp.015007. ⟨10.1088/2043-6262/5/1/015007⟩
- Accès au bibtex
-
- titre
- Orbital Kondo effect in V-doped 1T-CrSe2
- auteur
- Matias Nunez, Daniele-Cristina Freitas, Frédéric Gay, Jacques Marcus, Pierre Strobel, Armando A. Aligia, Manuel Nunez-Regueiro
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 88 (24), pp.245129. ⟨10.1103/PhysRevB.88.245129⟩
- Accès au texte intégral et bibtex
-
- titre
- The influence of milling and annealing on the structural and magnetic behavior of Nd2Fe14B/alpha-Fe magnetic nanocomposite
- auteur
- Viorel Pop, Olivier Isnard, S. Gutoiu, E. Dorolti, C. Leostean, Ionel Chicinas, O. Pana
- article
- Journal of Alloys and Compounds, 2013, 581, pp.821-827. ⟨10.1016/j.jallcom.2013.07.194⟩
- Accès au bibtex
-
- titre
- The effect of compositional changes on the structural and hydrogen storage properties of (La-Ce)Ni5 type intermetallics towards compounds suitable for metal hydride hydrogen compression.
- auteur
- Marios Odysseos, Patricia de Rango, Chris Christodoulou, El-Kebir Hlil, Theodore Steriotis, George Karagiorgis, Georgia Charalambopoulou, T. Papapanagiotou, A. Ampoumogli, V. Psycharis, Evangelos Koultoukis, Daniel Fruchart, Athanassios K. Stubos
- article
- Journal of Alloys and Compounds, 2013, 580, pp.S268-S270. ⟨10.1016/j.jallcom.2013.01.057⟩
- Accès au bibtex
-
- titre
- Improvement of hydrogen sorption properties of compounds based on vanadium "bcc" alloys by means of intergranular phase development
- auteur
- Damien Planté, Cécile Raufast, Salvatore Miraglia, Daniel Fruchart, Patricia de Rango
- article
- Journal of Alloys and Compounds, 2013, 580, pp.S192-S196. ⟨10.1016/j.jallcom.2013.03.080⟩
- Accès au bibtex
-
- titre
- Various tools for optimizing large scale magnesium hydride storage
- auteur
- Philippe Marty, Patricia de Rango, Baptiste Delhomme, Sylvain Garrier
- article
- Journal of Alloys and Compounds, 2013, 580, pp.S324-S328. ⟨10.1016/j.jallcom.2013.02.169⟩
- Accès au bibtex
-
- titre
- The structure, Raman spectroscopy and evidence of ferromagnetic transition in CuCr< sub> 1− x M< sub> x O< sub> 2(M= Mn and Rh) compounds
- auteur
- T. Elkhouni, M. Amami, Claire Colin, Pierre Strobel, A. Ben Salah
- article
- Journal of Magnetism and Magnetic Materials, 2013, 355, pp.158. ⟨10.1016/j.jmmm.2013.12.004⟩
- Accès au bibtex
-
- titre
- Revealing the properties of Mn2Au for antiferromagnetic spintronics
- auteur
- Vitoria Barthem, Claire Colin, H. Mayaffre, Marc Julien, Dominique Givord
- article
- Nature Communications, 2013, 4, pp.2892. ⟨10.1038/ncomms3892⟩
- Accès au bibtex
-
- titre
- Single and dual fiber nano-tip optical tweezers: trapping and analysis
- auteur
- Jean-Baptiste Decombe, Serge Huant, Jochen Fick
- article
- Optics Express, 2013, 21 (24), pp.30521. ⟨10.1364/OE.21.030521⟩
- Accès au texte intégral et bibtex
-
- titre
- Imaging material properties of biological samples with a force feedback microscope
- auteur
- Luca Costa, Mario S. Rodriguès, E. Newman, Chloe Zubieta, Joël Chevrier, Fabio Comin
- article
- Journal of Molecular Recognition, 2013, 26, pp.689. ⟨10.1002/jmr.2328⟩
- Accès au bibtex
-
- titre
- Polarization fields in GaN/AlN nanowire heterostructures studied by Off axis holography
- auteur
- Martien den Hertog, Rudeesun Songmuang, Eva Monroy
- article
- Journal of Physics: Conference Series, 2013, 471, pp.012019. ⟨10.1088/1742-6596/471/1/012019⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical properties of single ZnTe nanowires grown at low temperature
- auteur
- Alberto Artioli, Pamela Rueda-Fonseca, Petr Stepanov, Edith Bellet-Amalric, Martien den Hertog, Catherine Bougerol, Yann Genuist, Fabrice Donatini, Régis André, Gilles Nogues, Kuntheak Kheng, Serge Tatarenko, David Ferrand, Joel Cibert
- article
- Applied Physics Letters, 2013, 103 (22), pp.222106. ⟨10.1063/1.4832055⟩
- Accès au texte intégral et bibtex
-
- titre
- Strong carrier localization and diminished quantum-confined Stark effect in ultra-thin high-indium-content InGaN quantum wells with violet light emission
- auteur
- Suk-Min Ko, Ho-Sang Kwack, Chunghyun Park, Yang-Seok Yoo, Soon-Yong Kwon, Hee-Jin Kim, Euijoon Yoon, Daniel Le-Si Dang, Yong-Hoon Cho
- article
- Applied Physics Letters, 2013, 103 (22), pp.222104. ⟨10.1063/1.4833917⟩
- Accès au bibtex
-
- titre
- Dual-wavelength source from 5%MgO:PPLN cylinders for the characterization of nonlinear infrared crystals
- auteur
- Vincent Kemlin, David Jegouso, Jérôme Debray, Elodie Boursier, Patricia Segonds, Benoit Boulanger, Hideki Ishizuki, Takunori Taira, Gabriel Mennerat, Jean-Michel Melkonian, Antoine Godard
- article
- Optics Express, 2013, 21 (23), pp.28886-28891. ⟨10.1364/OE.21.028886⟩
- Accès au bibtex
-
- titre
- Spontaneous self-ordered states of vortex-antivortex pairs in a polariton condensate
- auteur
- F. Manni, T.C.H. Liew, K. G. Lagoudakis, C. Ouellet-Plamondon, Régis André, V. Savona, B. Deveaud
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 88 (20), pp.201303. ⟨10.1103/PhysRevB.88.201303⟩
- Accès au bibtex
-
- titre
- Thermoelectric and micro-Raman measurements of carrier density and mobility in heavily Si-doped GaN wires
- auteur
- Pierre Tchoulfian, Fabrice Donatini, François Levy, Benoît Amstatt, Amélie Dussaigne, Pierre Ferret, Etienne Bustarret, Julien Pernot
- article
- Applied Physics Letters, 2013, 103 (20), pp.202101. ⟨10.1063/1.4829857⟩
- Accès au texte intégral et bibtex
-
- titre
- Polymorphism of CMONS Nanocrystals Grown in Silicate Particles through a Spray-Drying Process
- auteur
- Cécile Philippot, Josephine Zimmermann, Fabien Dubois, Maria Bacia, Bruno Boury, Patrice L. Baldeck, Sophie Brasselet, Alain Ibanez
- article
- Crystal Growth & Design, 2013, 13 (12), pp.5241-5248. ⟨10.1021/cg401000t⟩
- Accès au bibtex
-
- titre
- High magnetic field study of the Tm2Fe17 and Tm2Fe17D3.2 compounds
- auteur
- Olivier Isnard, A.V. Andreev, Michael D. Kuz'Min, Y. Skourski, D. I. Gorbunov, J. Wosnitza, N. V. Kudrevatykh, A. Iwasa, A. Kondo, A. Matsuo, K. Kindo
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 88 (17), pp.174406. ⟨10.1103/PhysRevB.88.174406⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic and structural properties of Fe65Co35 alloys obtained by melting, high-energy milling and heat treatment
- auteur
- A.V Trifu, E. Dorolti, A.F Takacs, Ionel Chicinas, Olivier Isnard, Viorel Pop
- article
- Materials Science and Engineering: B, 2013, 178, pp.1352-1355. ⟨10.1016/j.mseb.2013.05.008⟩
- Accès au bibtex
-
- titre
- Exciton condensation in microcavities under three-dimensional quantization conditions
- auteur
- V. Kochereshko, A. Platonov, P. Savvidis, A. Kavokin, J. Bleuse, H. Mariette
- article
- Fizika i tekhnika poluprovodnicov / Semiconductors, 2013, 47 (11), pp.1492-1495. ⟨10.1134/S1063782613110109⟩
- Accès au bibtex
-
- titre
- Speciation of aqueous tellurium(IV) in hydrothermal solutions and vapors, and the role of oxidized tellurium species in Te transport and gold deposition
- auteur
- Pascal V. Grundler, Joël Brugger, Barbara E. Etschmann, Lothar Helm, Weihua Liu, Paul G. Spry, Yuan Tian, Denis Testemale, Allan Pring
- article
- Geochimica et Cosmochimica Acta, 2013, 120, pp.298-325. ⟨10.1016/j.gca.2013.06.009⟩
- Accès au bibtex
-
- titre
- Time- and space-modulated Raman signals in graphene-based optical cavities
- auteur
- Antoine Reserbat-Plantey, Svetlana Klyatskaya, Valérie Reita, Laëtitia Marty, Olivier Arcizet, Mario Ruben, Nedjma Bendiab, Vincent Bouchiat
- article
- Journal of Optics, 2013, 15 (11), pp.114010. ⟨10.1088/2040-8978/15/11/114010⟩
- Accès au bibtex
-
- titre
- Excited exciton and biexciton localised states in a single quantum ring
- auteur
- H. D. Kim, Kwangseuk Kyhm, R. A. Taylor, A. Nicolet, M. Potemski, Gilles Nogues, K. C. Je, E. H. Lee, J. D. Song
- article
- Applied Physics Letters, 2013, 103, pp.173106. ⟨10.1063/1.4826479⟩
- Accès au bibtex
-
- titre
- Large magnetovolume effects due to transition from the ferromagnetic to antiferromagnetic state in Hf0.825Ta0.175Fe2 intermetallic compound
- auteur
- Leopold Diop, Mehdi Amara, Olivier Isnard
- article
- Journal of Physics: Condensed Matter, 2013, 25 (41), pp.416007. ⟨10.1088/0953-8984/25/41/416007⟩
- Accès au bibtex
-
- titre
- Magnetic field induced nutation of exciton-polariton polarization in (Cd,Zn)Te crystals
- auteur
- T. Godde, M.M. Glazov, I.A. Akimov, D.R. Yakovlev, Henri Mariette
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 88 (15), pp.155203. ⟨10.1103/PhysRevB.88.155203⟩
- Accès au bibtex
-
- titre
- The influence of short time heat treatment on the structural and magnetic behaviour of Nd2Fe14B/alpha-Fe nanocomposite obtained by mechanical milling
- auteur
- V. Pop, S. Gutoiu, E. Dorolti, Olivier Isnard, Ionel Chicinas
- article
- Journal of Alloys and Compounds, 2013, 509, pp.99649969. ⟨10.1016/j.jallcom.2011.08.002⟩
- Accès au bibtex
-
- titre
- Robust perpendicular exchange coupling in an ultrathin CoO/PtFe double layer: Strain and spin orientation
- auteur
- Anne Lamirand, Marcio Soares, Aline Y. Ramos, Hélio Tolentino, Maurizio de Santis, Julio C. Cezar, Abner de Siervo, Matthieu Jamet
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 88 (14), pp.140401(R). ⟨10.1103/PhysRevB.88.140401⟩
- Accès au texte intégral et bibtex
-
- titre
- Conductivity of graphene with resonant and non-resonant adsorbates
- auteur
- Guy Trambly de Laissardière, Didier Mayou
- article
- Physical Review Letters, 2013, 111 (14), pp.146601. ⟨10.1103/PhysRevLett.111.146601⟩
- Accès au texte intégral et bibtex
-
- titre
- Bond-valence model for metal cluster compounds. II. Matrix effect
- auteur
- E. Levi, Doron Aurbach, Olivier Isnard
- article
- Acta Crystallographica Section B : Structural Science [1968-2013], 2013, 69, pp.426-438. ⟨10.1107/S2052519213021283⟩
- Accès au bibtex
-
- titre
- A Mössbauer investigation of the formation of the Ni3Fe phase by high energy ball milling and subsequent annealing
- auteur
- Jean Marie Le Breton, Olivier Isnard, Jean Juraszek, Viorel Pop, Ionel Chicinas
- article
- Intermetallics, 2013, 35 (128), pp.128-134. ⟨10.1016/j.intermet.2012.10.001⟩
- Accès au bibtex
-
- titre
- Bond-valence model for metal cluster compounds. I. Common lattice strains
- auteur
- Olivier Isnard, E. Levi, Doron Aurbach
- article
- Acta Crystallographica Section B : Structural Science [1968-2013], 2013, 69, pp.419-425. ⟨10.1107/S2052519213021271⟩
- Accès au bibtex
-
- titre
- Up- and down-conversion in Yb3+–Pr3+ co-doped fluoride glasses and glass ceramics
- auteur
- B. Dieudonné, B. Boulard, G. Alombert-Goget, Alessandro Chiasera, Y. Gao, Stéphanie Kodjikian, M. Ferrari
- article
- Journal of Non-Crystalline Solids, 2013, 377, pp.105-109. ⟨10.1016/j.jnoncrysol.2012.12.025⟩
- Accès au bibtex
-
- titre
- Introduction of Cobalt Ions in γ-Fe 2 O 3 Nanoparticles by Direct Coprecipitation or Postsynthesis Adsorption: Dopant Localization and Magnetic Anisotropy
- auteur
- Charlotte Vichery, Isabelle Maurin, Olivier Proux, Isabelle Kieffer, Jean-Louis F Hazemann, Robert Cortès, Jean-Pierre Boilot, Thierry Gacoin
- article
- Journal of Physical Chemistry C, 2013, 117 (38), pp.19672-19683. ⟨10.1021/jp405450p⟩
- Accès au bibtex
-
- titre
- Hyperbolic spin vortices and textures in exciton-polariton condensates
- auteur
- Francesco Manni, Yoan Léger, Yuri G. Rubo, Régis André, Benoît Deveaud
- article
- Nature Communications, 2013, 4, pp.2590. ⟨10.1038/ncomms3590⟩
- Accès au bibtex
-
- titre
- Transmission and reflection characteristics of metal-coated optical fiber tip pairs
- auteur
- Jean-Baptiste Decombe, Jean-François Bryche, Jean-François Motte, Joël Chevrier, Serge Huant, Jochen Fick
- article
- Applied optics, 2013, 52 (26), pp.6620. ⟨10.1364/AO.52.006620⟩
- Accès au texte intégral et bibtex
-
- titre
- X-ray Absorption Spectroscopy Proves the Trigonal-Planar Sulfur-Only Coordination of Copper(I) with High-Affinity Tripodal Pseudopeptides
- auteur
- Anne-Solène Jullien, Christelle Gateau, Isabelle Kieffer, Denis Testemale, Pascale Delangle
- article
- Inorganic Chemistry, 2013, 52, pp.9954-9961. ⟨10.1021/ic401206u⟩
- Accès au bibtex
-
- titre
- Science under Extreme Conditions of Pressures and Temperatures at the ESRF
- auteur
- Denis Andrault, Daniele Antonangeli, Vladimir Dmitriev, Yaroslav F. Filinchuk, Mickael Hanfland, Jean-Louis Hazemann, Mickael Krisch, R. Mayanovic, Mohamed Mezouar, Giulio Monaco, Sakura Pascarelli, Rudolf Ruffer, Denis Testemale, R. Torchio
- article
- Synchrotron Radiation News, 2013, 26, pp.39-44. ⟨10.1080/08940886.2013.832591⟩
- Accès au bibtex
-
- titre
- Top Seeded Solution Growth and Structural Characterizations of alpha-quartz-like Structure GeO2 Single Crystal
- auteur
- Adrien Lignie, Bertrand Ménaert, Pascale Armand, Alexandra Pena, Jérôme Debray, Philippe Papet
- article
- Crystal Growth & Design, 2013, 13 (10), pp.4220-4225. ⟨10.1021/cg4000523⟩
- Accès au bibtex
-
- titre
- Growth of II-VI ZnSe/CdSe nanowires for quantum dot luminescence
- auteur
- Edith Bellet-Amalric, Miryam Elouneg-Jamroz, Pamela Rueda-Fonseca, Samir Bounouar, Martien den Hertog, Catherine Bougerol, Régis André, Yann Genuist, Jean-Philippe Poizat, Kuntheak Kheng, Joel Cibert, Serge Tatarenko
- article
- Journal of Crystal Growth, 2013, 378, pp.233-237. ⟨10.1016/j.jcrysgro.2012.10.010⟩
- Accès au bibtex
-
- titre
- Terahertz absorbing AlGaN/GaN multi-quantum-wells: Demonstration of a robust 4-layer design
- auteur
- M. Beeler, Catherine Bougerol, Edith Bellet-Amalric, Eva Monroy
- article
- Applied Physics Letters, 2013, 103, pp.091108. ⟨10.1063/1.4819950⟩
- Accès au bibtex
-
- titre
- Overdoped cuprates with high-temperature superconducting transitions
- auteur
- Massimo Marezio, Omar Chmaissem, Catherine Bougerol, M. Karppinen, H. Yamauchi, T. H. Geballe
- article
- APL Materials, 2013, 1 (2), pp.021103. ⟨10.1063/1.4817895⟩
- Accès au bibtex
-
- titre
- Experimental demonstration of five-beam-pumped optical parametric amplication
- auteur
- Gabriel Mennerat, Benoit Tropheme, Benoit Boulanger
- article
- Optics Letters, 2013, Optics letters, 38 (17), pp.3319-3321. ⟨10.1364/OL.38.003319⟩
- Accès au bibtex
-
- titre
- Structural Properties and Reversible Deuterium Loading of MgD2 − TiD2 Nanocomposites
- auteur
- Marine Ponthieu, Fermin Cuevas, J.F. Fernandez, Laetitia Laversenne, Florence Porcher, Michel Latroche
- article
- Journal of Physical Chemistry C, 2013, 117 (37), pp.18851-18862. ⟨10.1021/jp405803x|⟩
- Accès au bibtex
-
- titre
- Magnetic Properties of the RbMnPO4 Zeolite-ABW-Type Material: A Frustrated Zigzag Spin Chain.
- auteur
- Gwilherm Nénert, Jerry Bettis, Reinhard Kremer, Hamdi Ben Yahia, Clemens Ritter, Etienne Gaudin, Olivier Isnard, Myung-Hwan Whangbo
- article
- Inorganic Chemistry, 2013, 52 (16), pp.9627-9635. ⟨10.1021/ic401408f⟩
- Accès au bibtex
-
- titre
- Ferrimagnetism in GdCo12-xFexB6
- auteur
- Leopold Diop, Olivier Isnard, Nicolas R. Lee-Hone, Dominic H. Ryan, J. M. Cadogan
- article
- Journal of Physics: Condensed Matter, 2013, 25 (31), pp.316001. ⟨10.1088/0953-8984/25/31/316001⟩
- Accès au bibtex
-
- titre
- A new MgH2 tank concept using a phase change material to store the heat of reaction
- auteur
- Patricia de Rango, Sylvain Garrier, Baptiste Delhomme, Philippe Marty, Daniel Fruchart, Salvatore Miraglia
- article
- International Journal of Hydrogen Energy, 2013, 38 (23), pp.9766-9771. ⟨10.1016/j.ijhydene.2013.05.026⟩
- Accès au bibtex
-
- titre
- Probing alloy composition gradient and nanometer-scale carrier localization in single AlGaN nanowires by nanocathodoluminescence
- auteur
- Aurélie Pierret, Catherine Bougerol, Bruno Gayral, Mathieu Kociak, B. Daudin
- article
- Nanotechnology, 2013, 24 (30), pp.305703. ⟨10.1088/0957-4484/24/30/305703⟩
- Accès au bibtex
-
- titre
- Competition between CoOx and CoPt phases in Pt/Co/AlOx semi tunnel junctions
- auteur
- Houmed Garad, Luc Ortega, Aline Y. Ramos, Yves Joly, Farid Fettar, Stephane Auffret, Bernard Rodmacq, Bernard Dieny, Olivier Proux, A.I. Erko
- article
- Journal of Applied Physics, 2013, 114 (5), pp.053508. ⟨10.1063/1.4816620⟩
- Accès au texte intégral et bibtex
-
- titre
- Etching mechanism of diamond by Ni nanoparticles for fabrication of nanopores
- auteur
- Hasan-Al Mehedi, Jean-Charles Arnault, David Eon, Clément Hébert, Davy Carole, Franck Omnès, Etienne Gheeraert
- article
- Carbon, 2013, 59, pp.448-456. ⟨10.1016/j.carbon.2013.03.038⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamics of iron-bearing borosilicate melts: Effects of melt structure and composition on viscosity, electrical conductivity and kinetics of redox reactions
- auteur
- Benjamin Cochain, Daniel R. Neuville, Dominique de Ligny, M. Malki, Denis Testemale, Olivier Pinet, Pascal Richet
- article
- Journal of Non-Crystalline Solids, 2013, 373-374, pp.18-27. ⟨10.1016/j.jnoncrysol.2013.04.006⟩
- Accès au bibtex
-
- titre
- In operando study of TiVCr additive in MgH2 composites
- auteur
- Laetitia Laversenne, Jérome Andrieux, Damien Planté, Laurence Lyard, Salvatore Miraglia
- article
- International Journal of Hydrogen Energy, 2013, 38 (27), pp.11937-11945. ⟨10.1016/j.ijhydene.2013.06.111⟩
- Accès au texte intégral et bibtex
-
- titre
- Boron concentration profiling by high angle annular dark field-scanning transmission electron microscopy in homoepitaxial delta-doped diamond layers
- auteur
- Daniel Araújo, Maria de La Paz Alegre, José Carlos Pinero, Alexandre Fiori, Etienne Bustarret, François Jomard
- article
- Applied Physics Letters, 2013, 103 (4), pp.042104. ⟨10.1063/1.4816418⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and Optical Properties of AlxGa1-xN nanowires
- auteur
- Aurélie Pierret, Catherine Bougerol, Martien den Hertog, Bruno Gayral, Mathieu Kociak, Hubert Renevier, B. Daudin
- article
- physica status solidi (RRL) - Rapid Research Letters, 2013, 7 (10), pp.868. ⟨10.1002/pssr.201308009⟩
- Accès au bibtex
-
- titre
- Single GaN-based Nanowires for Photodetection and Sensing Applications
- auteur
- Martien den Hertog, Rudeesun Songmuang, F. Gonzalez-Posada, Eva Monroy
- article
- Japanese Journal of Applied Physics, 2013, 52, pp.11NG01. ⟨10.7567/JJAP.52.11NG01⟩
- Accès au bibtex
-
- titre
- X-ray photoelectron spectroscopy and magnetic properties of CeCo7Mn5 and CeCo8Mn4 isostructural ThMn12 type compounds
- auteur
- R. Dudric, A. Popescu, Olivier Isnard, M. Coldea
- article
- Intermetallics, 2013, 38, pp.150-155. ⟨10.1016/j.intermet.2013.03.005⟩
- Accès au bibtex
-
- titre
- Erbium-doped yttria thin films prepared by metal organic decomposition for up-conversion
- auteur
- Christian Andriamiadamanana, Alain Ibanez, Alban Ferrier, Laurent Lombez, Marine Liotaud, Jean Francois Guillemoles, Fabienne Pellé
- article
- Thin Solid Films, 2013, 537, pp.42-48. ⟨10.1016/j.tsf.2013.04.093⟩
- Accès au bibtex
-
- titre
- Inhomogeneous magnetism in the doped kagome lattice of LaCuO2.66
- auteur
- Marc-Henri Julien, Virginie Simonet, Benjamin Canals, Rafik Ballou, A. K. Hassan, Marco Affronte, Ovidiu Garlea, Céline Darie, Pierre Bordet
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87 (21), pp.214423. ⟨10.1103/PhysRevB.87.214423⟩
- Accès au bibtex
-
- titre
- Rapid Growth in Solution of a Solid Solution under Stationary Conditions
- auteur
- Julien Leroudier, Julien Zaccaro, Jérôme Debray, Patricia Segonds, Alain Ibanez
- article
- Crystal Growth & Design, 2013, 13 (8), pp.3613-3620. ⟨10.1021/cg400586r⟩
- Accès au bibtex
-
- titre
- Magnetization profile across Au-covered bcc Fe films grown on a vicinal surface of Ag(001) as seen by x-ray resonant magnetic reflectivity
- auteur
- Emmanuelle Jal, M. Dabrowski, Marek Przybylski, Jean-Marc Tonnerre, Stéphane Grenier, Nicolas Jaouen, Jürgen Kirschner
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87 (22), pp.224418. ⟨10.1103/PhysRevB.87.224418⟩
- Accès au bibtex
-
- titre
- Microwave properties of nanodiamond particles
- auteur
- Daniel Slocombe, Adrian Porch, Etienne Bustarret, Oliver Williams
- article
- Applied Physics Letters, 2013, 102, pp.244102. ⟨10.1063/1.4809823⟩
- Accès au texte intégral et bibtex
-
- titre
- Metal oxide semiconductor structure using oxygen-terminated diamond
- auteur
- Gauthier Chicot, Aurélien Maréchal, Renaud Motte, Pierre Muret, Etienne Gheeraert, Julien Pernot
- article
- Applied Physics Letters, 2013, 102 (24), pp.242108. ⟨10.1063/1.4811668⟩
- Accès au bibtex
-
- titre
- Fabrication and tuning of plasmonic optical nanoantennas around droplet epitaxy quantum dots by cathodoluminescence
- auteur
- Gilles Nogues, Quentin Merotto, Guillaume Bachelier, Eun Hye Lee, Jin Dong Song
- article
- Applied Physics Letters, 2013, 102, pp.231112. ⟨10.1063/1.4809831⟩
- Accès au texte intégral et bibtex
-
- titre
- An investigation of the hydrogen desorption from Nd2Fe17Hx, and Dy2Fe17Hx compounds by differential scanning calorimetry
- auteur
- F. Cuevas, Olivier Isnard, B. Villeroy
- article
- Thermochimica Acta, 2013, 561, pp.14-18. ⟨10.1016/j.tca.2013.03.019⟩
- Accès au bibtex
-
- titre
- Emergence of ferromagnetism and Jahn-Teller distortion in LaMn1−xCrxO3 (x<0.15)
- auteur
- Aline Y. Ramos, Hélio Tolentino, Marcio Soares, Stéphane Grenier, Oana Bunau, Yves Joly, Francois Baudelet, Fabrice Wilhelm, Andrei Rogalev, Narcizo M. Souza-Neto, Raquel A. Souza, Olivier Proux, Denis Testemale, Alberto Caneiro
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87 (22), pp.220404. ⟨10.1103/PhysRevB.87.220404⟩
- Accès au texte intégral et bibtex
-
- titre
- Spin dynamics of a Mn atom in a semiconductor quantum dot under resonant optical excitation
- auteur
- Ségolène Jamet, Hervé Boukari, Lucien Besombes
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87, pp.245306. ⟨10.1103/PhysRevB.87.245306⟩
- Accès au bibtex
-
- titre
- The effect of Zn vacancies on the physical properties of antiperovskite compounds Mn3ZnxN
- auteur
- Lihua Chu, Cong Wang, Pierre Bordet, Claire Colin, Sébastien Pairis, Yuanyuan Na, Jun Yan, Qingzhen Huang
- article
- Scripta Materialia, 2013, 68 (12), pp.968. ⟨10.1016/j.scriptamat.2013.02.052⟩
- Accès au bibtex
-
- titre
- Determination of the intersublattice exchange interactions in GdCo 12-x Fe x B 6 (x = 0-3) intermetallic compounds by high field magnetization measurements
- auteur
- Leopold Diop, Olivier Isnard, Y. Skourski, Géraldine Ballon
- article
- Journal of Applied Physics, 2013, 113 (20), pp.20391. ⟨10.1063/1.4807414⟩
- Accès au bibtex
-
- titre
- Environmental sensitivity of n-i-n and undoped single GaN nanowire photodetectors
- auteur
- F. Gonzalez-Posada, Rudeesun Songmuang, Martien den Hertog, Eva Monroy
- article
- Applied Physics Letters, 2013, 102 (21), pp.213113. ⟨10.1063/1.4808017⟩
- Accès au bibtex
-
- titre
- Influence of mechanical milling on the physical properties of SmCo5/Fe65Co35 type hard/soft magnetic nanocomposite
- auteur
- E. Dorolti, A.V Trifu, Olivier Isnard, Ionel Chicinas, F. Tolea, M. Valeanu, Viorel Pop
- article
- Journal of Alloys and Compounds, 2013, 560, pp.189-194. ⟨10.1016/j.jallcom.2013.01.140⟩
- Accès au bibtex
-
- titre
- Muon diffusion and electronic magnetism in Y2Ti2O7
- auteur
- J.A. Rodriguez, A. Yaouanc, Bernard Barbara, E. Pomjakushina, Pascal Quemerais, Z. Salman
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87, pp.184427. ⟨10.1103/PhysRevB.87.184427⟩
- Accès au bibtex
-
- titre
- Photovoltaic Response of InGaN/GaN Multiple-Quantum Well Solar Cells
- auteur
- S. Valdueza-Felip, A. Mukhtarova, Qing Pan, Giovanni Altamura, Louis Grenet, C. Durand, Catherine Bougerol, David Peyrade, F. Gonzalez-Posada, Joël Eymery, Eva Monroy
- article
- Japanese Journal of Applied Physics, 2013, 52, pp.08JH05. ⟨10.7567/JJAP.52.08JH05⟩
- Accès au bibtex
-
- titre
- Generating far-field orbital angular momenta from near-field optical chirality
- auteur
- Yuri Gorodetski, Aurélien Drezet, Cyriaque Genet, Thomas W. Ebbesen
- article
- Physical Review Letters, 2013, 110, pp.203906. ⟨10.1103/PhysRevLett.110.203906⟩
- Accès au bibtex
-
- titre
- Vectorial nonlinear coherent response of a strongly confined exciton-biexciton system
- auteur
- Jacek Kasprzak, Stefano Portolan, Armando Rastelli, Liuan Wang, Johannes D. Plumhof, Olivier G. Schmidt, Wolfgang Langbein
- article
- New Journal of Physics, 2013, 15, pp.055006. ⟨10.1088/1367-2630/15/5/055006⟩
- Accès au bibtex
-
- titre
- Residual strain and piezoelectric effects in passivated GaAs/AlGaAs core-shell nanowires
- auteur
- Moïra Hocevar, Le Thuy Thanh Giang, Rudeesun Songmuang, Martien den Hertog, Lucien Besombes, Joël Bleuse, Yann-Michel Niquet, Nikos T. Pelekanos
- article
- Applied Physics Letters, 2013, 102 (19), pp.191103. ⟨10.1063/1.4803685⟩
- Accès au texte intégral et bibtex
-
- titre
- Extreme doping sensitivity of the ordering direction in GdCo12-xFexB6
- auteur
- Nicolas R. Lee-Hone, Dominic H. Ryan, Olivier Isnard, Leopold Diop, J. M. Cadogan
- article
- Journal of Applied Physics, 2013, 113 (17), pp.17E119. ⟨10.1063/1.4794373⟩
- Accès au bibtex
-
- titre
- Synthesis and fading of eighteenth-century Prussian blue pigments: a combined study by spectroscopic and diffractive techniques using laboratory and synchrotron radiation sources
- auteur
- Louise Samain, Fernande Grandjean, Gary Long, Pauline Martinetto, Pierre Bordet, Jana Sanyova, David Strivay
- article
- Journal of Synchrotron Radiation, 2013, 20, pp.460. ⟨10.1107/S0909049513004585⟩
- Accès au bibtex
-
- titre
- Structural and magnetic properties of the copper ferrite obtained by reactive milling and heat treatment
- auteur
- Traian Florin Marinca, Ionel Chicinas, Olivier Isnard
- article
- Ceramics International, 2013, 39, pp.4179-4186. ⟨10.1016/j.ceramint.2012.10.274⟩
- Accès au bibtex
-
- titre
- Microcavity controlled coupling of excitonic qubits
- auteur
- Ferdinand Albert, Kanchana Sivalertporn, Jacek Kasprzak, Micha Strauss, Christian Schneider, Sven Höfling, Martin Kamp, Alfred Forchel, Stephan Reitzenstein, Egor A. Muljarov, Wolfgang Langbein
- article
- Nature Communications, 2013, 4, pp.1747. ⟨10.1038/ncomms2764⟩
- Accès au bibtex
-
- titre
- Coherence dynamics and quantum-to-classical crossover in an exciton-cavity system in the quantum strong coupling regime
- auteur
- Jacek Kasprzak, Kanchana Sivalertporn, Ferdinand Albert, Christian Schneider, Sven Hofling, Martin Kamp, Alfred Forchel, Stephan Reitzenstein, Egor A. Muljarov, Wolfgang Langbein
- article
- New Journal of Physics, 2013, 15, pp.045013. ⟨10.1088/1367-2630/15/4/045013⟩
- Accès au bibtex
-
- titre
- Atomic-Scale Investigation of SmCo5/alpha-Fe Nanocomposites: Influence of Fe/Co Interdiffusion on the Magnetic Properties
- auteur
- Rodrigue Lardé, Jean Marie Le Breton, Adeline Maitre, Denis Ledue, Olivier Isnard, V. Pop, Ionel Chicinas
- article
- Journal of Physical Chemistry C, 2013, 117, pp.7801-7810. ⟨10.1021/jp307544q⟩
- Accès au bibtex
-
- titre
- The Zero-Voltage Conductance of Nanographenes: Simple Rules and Quantitative Estimates
- auteur
- Didier Mayou, Y. Zhou, M. Ernzerhof
- article
- Journal of Physical Chemistry C, 2013, 117 (15), pp.7870. ⟨10.1021/jp3125389⟩
- Accès au bibtex
-
- titre
- Coupling and thermal integration of a solid oxide fuel cell with a MgH2 tank
- auteur
- Baptiste Delhomme, Andrea Lanzini, A. Gustavo, Simeon Nachev, Patricia de Rango, Massimo Santarelli, Philippe Marty
- article
- International Journal of Hydrogen Energy, 2013, 38, pp.4740-4747. ⟨10.1016/j.ijhydene.2013.01.140⟩
- Accès au bibtex
-
- titre
- Element-specific quantitative determination of the local atomic order in CoPt alloy nanoparticles: Experiment and theory
- auteur
- Nils Blanc, L.E. Diaz-Sanchez, Aline Y. Ramos, Florent Tournus, Hélio Tolentino, Maurizio de Santis, Olivier Proux, Alexandre Tamion, Juliette Tuaillon-Combes, L. Bardotti, O. Boisron, G.M. Pastor, Véronique Dupuis
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87 (15), pp.155412. ⟨10.1103/PhysRevB.87.155412⟩
- Accès au texte intégral et bibtex
-
- titre
- THz Magneto-electric atomic rotations in the chiral compound Ba3NbFe3Si2O14
- auteur
- Laura Chaix, Sophie de Brion, Florence Lévy-Bertrand, Virginie Simonet, Rafik Ballou, Benjamin Canals, Pascal Lejay, Jean-Blaise Brubach, Gaëlle Greff, Fabrice Willaert, Pascale Roy, Andres Cano
- article
- Physical Review Letters, 2013, 110 (15), pp.157208. ⟨10.1103/PhysRevLett.110.157208⟩
- Accès au texte intégral et bibtex
-
- titre
- Synchronized B and 13C Diamond Delta Structures for an Ultimate In-Depth Chemical Characterization
- auteur
- Alexandre Fiori, François Jomard, Tokuyuki Teraji, Satoshi Koizumi, Junichi Isoya, Etienne Gheeraert, Etienne Bustarret
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2013, 6, pp.045801. ⟨10.7567/APEX.6.045801⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic properties of nanocrystalline Ni3Fe compacts prepared by spark plasma sintering
- auteur
- Bogdan Neamtu, Olivier Isnard, Ionel Chicinas, I. Ciascai, H. Chiriac, M. Lostun
- article
- Intermetallics, 2013, 35, pp.98-103. ⟨10.1016/j.intermet.2012.12.011⟩
- Accès au bibtex
-
- titre
- Teaching classical mechanics using smartphones
- auteur
- Joël Chevrier, Laya Madani, Simon Ledenmat, A. Bsiesy
- article
- Physics Teacher, 2013, 51 (6), pp.376. ⟨10.1119/1.4818381⟩
- Accès au bibtex
-
- titre
- Fabrication of 6-filament MgB2 wires enhanced by high strength 91-filament Cu-Nb composite
- auteur
- Ming Liang, Eric Mossang, André Sulpice, Yu Yan Sun, Guo Yan, Ping Xiang Zhang
- article
- Journal of Materials Science: Materials in Electronics, 2013, 24 (4), pp.1250-1255. ⟨10.1007/s10854-012-0915-2⟩
- Accès au bibtex
-
- titre
- High conductivity in Si-doped GaN wires
- auteur
- Pierre Tchoulfian, Fabrice Donatini, François Levy, Benoît Amstatt, Pierre Ferret, Julien Pernot
- article
- Applied Physics Letters, 2013, 102, pp.122116. ⟨10.1063/1.4799167⟩
- Accès au texte intégral et bibtex
-
- titre
- On the origin of the giant isotopic effect of hydrogen on the magnetic properties of YFe2A4.2 (A5H, D): A high pressure study
- auteur
- Olivier Isnard, Valérie Paul-Boncour, Zdenek Arnold
- article
- Applied Physics Letters, 2013, 102, pp.122408. ⟨10.1063/1.4798260⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrafast quantum nondemolition measurements based on a diamond-shaped artificial atom
- auteur
- Igor Diniz, Etienne Dumur, Olivier Buisson, Alexia Auffèves
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2013, 87, pp.033837. ⟨10.1103/PhysRevA.87.033837⟩
- Accès au texte intégral et bibtex
-
- titre
- YAG:Ce nanoparticle lightsources
- auteur
- Bruno Masenelli, Oriane Mollet, O. Boisron, B. Canut, Gilles Ledoux, Jean-Marie Bluet, Patrice Mélinon, Christophe Dujardin, Serge Huant
- article
- Nanotechnology, 2013, 24 (16), pp.165703. ⟨10.1088/0957-4484/24/16/165703⟩
- Accès au bibtex
-
- titre
- Elastic analog of graphene: Dirac cones and edge states for flexural waves in thin plates
- auteur
- Daniel Torrent, Didier Mayou, J. Sánchez-Dehesa
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87 (11), pp.115143. ⟨10.1103/PhysRevB.87.115143⟩
- Accès au bibtex
-
- titre
- Thermal evolution of the Ni3Fe compound obtained by mechanical alloying as probed by differential scanning calorimetry
- auteur
- Florin Popa, Olivier Isnard, Ionel Chicinas, Viorel Pop
- article
- Journal of Alloys and Compounds, 2013, 554, pp.39-44. ⟨10.1016/j.jallcom.2012.11.164⟩
- Accès au bibtex
-
- titre
- Electric-field control of the magnetic anisotropy in an ultrathin (Ga,Mn)As/(Ga,Mn)(As,P) bilayer
- auteur
- Tarik Niazi, Mathieu Cormier, Damien Lucot, Ludovic Largeau, Vincent Jeudy, Joel Cibert, Aristide Lemaître
- article
- Applied Physics Letters, 2013, 102 (12), pp.122403. ⟨10.1063/1.4798258⟩
- Accès au texte intégral et bibtex
-
- titre
- Growth, structural and optical properties of AlGaN nanowires in the whole composition range
- auteur
- A. Pierret, Catherine Bougerol, A. Cros, Hubert Renevier, B. Gayral, B. Daudin, S. Murcia-Mascaros
- article
- Nanotechnology, 2013, 24 (11), pp.115704. ⟨10.1088/0957-4484/24/11/115704⟩
- Accès au bibtex
-
- titre
- Widely tunable optical parametric oscillator in a 5 mm-thick 5%MgO:PPLN partial cylinder
- auteur
- Vincent Kemlin, David Jegouso, Jérôme Debray, Patricia Segonds, Benoit Boulanger, Bertrand Ménaert, Hideki Ishizuki, Takunori Taira
- article
- Optics Letters, 2013, 38 (6), pp.860-862. ⟨10.1364/OL.38.000860⟩
- Accès au bibtex
-
- titre
- Superconducting properties of laser annealed implanted Si:B epilayers
- auteur
- Audrey Grockowiak, Thierry Klein, Etienne Bustarret, Jozef Kacmarcik, Christiane Dubois, Gilles Prudon, Khalid Hoummada, Dominique Mangelinck, Thierry Kociniewski, Dominique Débarre, Jacques Boulmer, C. Marcenat
- article
- Superconductor Science and Technology, 2013, 26, pp.045009. ⟨10.1088/0953-2048/26/4/045009⟩
- Accès au bibtex
-
- titre
- InGaN/GaN multiple-quantum well heterostructures for solar cells grown by MOVPE: case studies
- auteur
- Anna Mukhtarova, Sirona Valdueza-Felip, Christophe Durand, Qing Pan, Louis Grenet, David Peyrade, Catherine Bougerol, Walf Chikhaoui, Eva Monroy, J. Eymery
- article
- physica status solidi (c), 2013, 10 (3), pp.350 - 354. ⟨10.1002/pssc.201200682⟩
- Accès au bibtex
-
- titre
- AlGaN/AlN quantum dots for UV light emitters
- auteur
- Chalermchai Himwas, M. den Hertog, Le Si Dang, E. Monroy, Fabrice Donatini, L. Rapenne, E. Sarigiannidou, Rudeesun Songmuang
- article
- physica status solidi (c), 2013, 10 (3), pp.285-288. ⟨10.1002/PSSC.201200679⟩
- Accès au bibtex
-
- titre
- Zr complexation in high pressure fluids and silicate melts and implications for the mobilization of HFSE in subduction zones
- auteur
- Marion Louvel, Carmen Sanchez-Valle, Wim J. Malfait, Denis Testemale, Jean-Louis Hazemann
- article
- Geochimica et Cosmochimica Acta, 2013, 104, pp.281-299. ⟨10.1016/j.gca.2012.11.001⟩
- Accès au bibtex
-
- titre
- Effect of barium-deficiency on the structural, magnetic, and magnetocaloric properties of La0.6Sr0.2Ba0.2-x square xMnO3 (0 <= x <= 0.15)
- auteur
- Rafik M'Nassri, W. Cheikhrouhou-Koubaa, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Applied Physics, 2013, 113 (7), pp.073905. ⟨10.1063/1.4792730⟩
- Accès au bibtex
-
- titre
- Metal organic vapour-phase epitaxy growth of GaN wires on Si (111) for light-emitting diode applications
- auteur
- Damien Salomon, Amélie Dussaigne, M. Lafossas, C. Durand, Catherine Bougerol, Pierre Ferret, Joël Eymery
- article
- Nanoscale Research Letters, 2013, 8 (1), pp.61. ⟨10.1186/1556-276X-8-61⟩
- Accès au bibtex
-
- titre
- Intrinsic limits governing MBE growth of Ga-assisted GaAs nanowires on Si(111)
- auteur
- Le Thuy Thanh Giang, Catherine Bougerol, Henri Mariette, Rudeesun Songmuang
- article
- Journal of Crystal Growth, 2013, 364, pp.118. ⟨10.1016/j.jcrysgro.2012.11.032⟩
- Accès au bibtex
-
- titre
- Nanocrystalline/Nanosized Ni1-gamma Fe2+gamma O4 Ferrite Obtained by Contamination with Fe During Milling of NiOFe2O3 Mixture. Structural and Magnetic Characterization
- auteur
- Traian Marinca, Ionel Chicinas, Olivier Isnard, Violeta Popescu
- article
- Journal of the American Ceramic Society, 2013, 96, pp.469-475. ⟨10.1111/jace.12043⟩
- Accès au bibtex
-
- titre
- A composite material made of carbon nanotubes partially embedded in a nanocrystalline diamond film
- auteur
- Clément Hébert, Sébastien Ruffinatto, David Eon, Michel Mermoux, Etienne Gheeraert, Franck Omnès, Pascal Mailley
- article
- Carbon, 2013, 52, pp.408-417. ⟨10.1016/j.carbon.2012.09.051⟩
- Accès au bibtex
-
- titre
- Influence of extra magnesium on the Nb-B interface and superconducting properties of MgB2/Nb tapes
- auteur
- Yu Yan Sun, Guo Qing Liu, Ming Qi, André Sulpice, Eric Mossang, Guo Yan, Ping Xiang Zhang
- article
- Physica C: Superconductivity and its Applications, 2013, 485, pp.24-29. ⟨10.1016/J.PHYSC.2012.09.006⟩
- Accès au bibtex
-
- titre
- Structural characterization of corrugated anisotropic graphene-based carbons obtained from the collapse of 2D C-60 polymers
- auteur
- Christophe Lepoittevin, Michelle Alvarez-Murga, L. Marques, M. Mezouar, Jean-Louis Hodeau
- article
- Carbon, 2013, 52, pp.278-287. ⟨10.1016/j.carbon.2012.09.029⟩
- Accès au bibtex
-
- titre
- Magnetoelectric coupling driven by inverse magnetostriction in multiferroic BiMn3Mn4O12
- auteur
- Andrea Gauzzi, Gwenaelle Rousse, Francesco Mezzadri, Gianluca Calestani, Gilles André, Françoise Bourée, Marco Calicchio, Edmondo Gilioli, Riccardo Cabassi, Fulvio Bolzoni, Andrea Prodi, Pierre Bordet, Massimo Marezio
- article
- Journal of Applied Physics, 2013, 113 (4), pp.043920. ⟨10.1063/1.4789350⟩
- Accès au bibtex
-
- titre
- Asymmetry of localised states in a single quantum ring: polarization dependence of excitons and biexcitons
- auteur
- H.D. Kim, Kwangseuk Kyhm, R.A. Taylor, Gilles Nogues, K.C. Je, E.H. Lee, J.D. Song
- article
- Applied Physics Letters, 2013, 102 (3), pp.033112. ⟨10.1063/1.4789519⟩
- Accès au texte intégral et bibtex
-
- titre
- Dependence of the structural, transport and magnetic properties of Tl1-yFe2-z(Se1-xSx)2 with isovalent substitution of Se by S
- auteur
- Pierre Toulemonde, David Santos-Cottin, Christophe Lepoittevin, Pierre Strobel, Jacques Marcus
- article
- Journal of Physics: Condensed Matter, 2013, 25, pp.075703. ⟨10.1088/0953-8984/25/7/075703⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of pressure on the superconductivity of Rb0.19WO3
- auteur
- Manuel Nunez-Regueiro, Daniele-Cristina Silva-De-Freitas, René Brusetti, Jacques Marcus
- article
- Solid State Communications, 2013, 159, pp.26-28. ⟨10.1016/j.ssc.2013.01.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Strain assisted inter-diffusion in GaN/AlN quantum dots
- auteur
- C. Leclere, Vincent Fellmann, Catherine Bougerol, D. Cooper, Bruno Gayral, M. G. Proietti, Hubert Renevier, Bruno Daudin
- article
- Journal of Applied Physics, 2013, 113 (3), pp.034311. ⟨10.1063/1.4775587⟩
- Accès au bibtex
-
- titre
- Antiferromagnetism and ferromagnetism in layered 1T-CrSe2 with V and Ti replacements
- auteur
- Daniele-Cristina Freitas, Matias Nunez, Pierre Strobel, André Sulpice, Ruben Weht, Armando A. Aligia, Manuel Nunez-Regueiro
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87, pp.014420. ⟨10.1103/PhysRevB.87.014420⟩
- Accès au texte intégral et bibtex
-
- titre
- Model of a GaAs quantum dot embedded in a polymorph AlGaAs nanowire
- auteur
- Daniele Barettin, A. V. Platonov, A. Pecchia, V.N. Kats, G.E. Cirlin, A.D. Bouravleuv, Lucien Besombes, Henri Mariette, M. auf Der Maur, A. Di Carlo
- article
- IEEE Journal of Selected Topics in Quantum Electronics, 2013, 19 (5), pp.1901209. ⟨10.1109/JSTQE.2013.2240657⟩
- Accès au texte intégral et bibtex
-
- titre
- Formation of As(II)-pyrite during experimental replacement of magnetite under hydrothermal conditions
- auteur
- Gujie Qian, Joël Brugger, Denis Testemale, William Skinner, Allan Pring
- article
- Geochimica et Cosmochimica Acta, 2013, 100, pp.1-10. ⟨10.1016/j.gca.2012.09.034⟩
- Accès au bibtex
-
- titre
- Coherent tunnelling across a quantum point contact in the quantum Hall regime
- auteur
- F. Martins, S. Faniel, B. Rosenow, Hermann Sellier, Serge Huant, M. G. Pala, L. Desplanque, X. Wallart, Vincent Bayot, B. Hackens
- article
- Scientific Reports, 2013, 3, pp.1416. ⟨10.1038/srep01416⟩
- Accès au texte intégral et bibtex
-
- titre
- P2-NaxVO2 system as electrodes for batteries and electron-correlated materials
- auteur
- Marie Guignard, Christophe Didier, Jacques Darriet, Pierre Bordet, Erik Elkaim, Claude Delmas
- article
- Nature Materials, 2013, 12 (1), pp.74-80. ⟨10.1038/nmat3478⟩
- Accès au bibtex
-
- titre
- Imaging surface plasmons: from leaky waves to far-field radiation
- auteur
- Aurélien Drezet, Cyriaque Genet
- article
- Physical Review Letters, 2013, 110 (21), pp.213901. ⟨10.1103/PhysRevLett.110.213901⟩
- Accès au bibtex
-
- titre
- Recent advances in monoclinic crystal optics
- auteur
- Yannick Petit, Simon Joly, Patricia Segonds, Benoit Boulanger
- article
- Laser and Photonics Reviews, 2013, 7 (6), pp.920-937. ⟨10.1002/lpor.201200078⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal structure, Raman and FTIR spectroscopy, and equations of state of OH-bearing MgSiO3 akimotoite
- auteur
- Yu Ye, Joseph R. Smyth, Steven D. Jacobsen, Wendy R. Panero, David A. Brown, Tomoo Katsura, Yun-Yuan Chang, Joshua P. Townsend, Przemyslaw Dera, Sergey Tkachev, Cayman Unterborn, Zhenxian Liu, Céline Goujon
- article
- Contributions to Mineralogy and Petrology, 2013, 166 (5), pp.1375-1388. ⟨10.1007/s00410-013-0933-y⟩
- Accès au bibtex
-
- titre
- Synthesis and magnetic properties of the ternary germanide TbScGe
- auteur
- Sophie Tencé, Olivier Isnard, Etienne Gaudin, Bernard Chevalier
- article
- Journal of Alloys and Compounds, 2013, 560, pp.195-199. ⟨10.1016/j.jallcom.2013.02.002⟩
- Accès au bibtex
-
- titre
- Peignes de fréquences femtosecondes pour la mesure des fréquences optiques
- auteur
- Y. Le Coq, R. Le Targat, W. Zhang, A. Haboucha, J. Millo, D. Nicolodi, Z. Xu, T. Li, R. Boudot, Y. Kersalé, M. Fischer, R. Holzwarth, S. Seidelin, M. Abgrall, J. Guena, A. Luiten, M. Lours, A. Clairon, G. Santarelli, S. Bize
- article
- Revue française de métrologie, 2013, 2012-4 (32), pp.35-47. ⟨10.1051/rfm/2012013⟩
- Accès au bibtex
-
- titre
- In situ dilatometry measurements of MgH2 compacted disks
- auteur
- Simeon Nachev, Patricia de Rango, Baptiste Delhomme, Damien Planté, Bartosz Zawilski, Florent Longa, Philippe Marty, Salvatore Miraglia, Daniel Fruchart
- article
- Journal of Alloys and Compounds, 2013, 580, pp.S183-S186. ⟨10.1016/j.jallcom.2013.03.098⟩
- Accès au bibtex
-
- titre
- A Round Robin Test exercise on hydrogen absorption/desorption properties of a magnesium hydride based material
- auteur
- P. Moretto, C. Zlotea, F. Dolci, A. Amieiro, Jean-Louis Bobet, A. Borgschulte, D. Chandra, H. Enoki, Patricia de Rango, Daniel Fruchart, J. Jepsen, Michel Latroche, I. Llamas Jansa, D. Moser, S. Sartori, S. M. Wang, J. A. Zan
- article
- International Journal of Hydrogen Energy, 2013, 38 (16), pp.6704-6717. ⟨10.1016/j.ijhydene.2013.03.118⟩
- Accès au bibtex
-
- titre
- Synthesis, structural and magnetic studies of the of the CuCr1-xCoxO2 delafossite oxide
- auteur
- T. Elkhouni, M. Amami, Claire Colin, Pierre Strobel, A. Ben Salah
- article
- Journal of Magnetism and Magnetic Materials, 2013, 330, pp.101-105. ⟨10.1016/j.jmmm.2012.10.037⟩
- Accès au bibtex
-
- titre
- Non-resonant and non-enhanced Raman Correlation Spectroscopy
- auteur
- A. Barbara, F. Dubois, P. Quemerais, L. Eng
- article
- Optics Express, 2013, 21 (13), pp.15418. ⟨10.1364/oe.21.015418⟩
- Accès au texte intégral et bibtex
-
- titre
- Relationship between the Synthesis of Prussian Blue Pigments, Their Color, Physical Properties, and Their Behavior in Paint Layers
- auteur
- Louise Samain, Fernande Grandjean, Gary J. Long, Pauline Martinetto, Pierre Bordet, David Strivay
- article
- Journal of Physical Chemistry C, 2013, 117, pp.9693−9712. ⟨10.1021/jp3111327⟩
- Accès au bibtex
-
- titre
- Measuring the Force Gradient Acting on a Magnetic Microsphere above a Micro-Magnet Array
- auteur
- Svetlana Ponomareva, Luiz-Fernando Zanini, Frédéric Dumas-Bouchiat, Nora Dempsey, Dominique Givord, Florence Marchi
- article
- Advanced Materials Research, 2013, 872, pp.167-173. ⟨10.4028/www.scientific.net/AMR.872.167⟩
- Accès au bibtex
-
- titre
- Quantum Optics With Single Nanodiamonds Flying Over Gold Films: Towards A Robust Quantum Plasmonics
- auteur
- Oriane Mollet, Aurélien Drezet, Serge Huant
- article
- AIP Conference Proceedings, 2013, 1566, pp.528. ⟨10.1063/1.4848518⟩
- Accès au bibtex
-
- titre
- Structure solution of oxides from precession electron diffraction
- auteur
- Holger Klein
- article
- Zeitschrift für Kristallographie, 2013, 228, pp.35-42. ⟨10.1524/zkri.2012.1568⟩
- Accès au bibtex
-
- titre
- Structural, Raman Spectroscopy, and Magnetic Ordering in New Delafossite-Type Oxide CuCr1−x Tix O2 (0 ≤ x ≤ 0.1)
- auteur
- T. Elkhouni, M. Amami, Pierre Strobel, A. Ben Salah
- article
- Journal of Superconductivity and Novel Magnetism, 2013, 26, pp.2795-2802. ⟨10.1007/s10948-013-2256-7⟩
- Accès au bibtex
-
- titre
- Bismuth speciation in hydrothermal fluids: An X-ray absorption spectroscopy and solubility study
- auteur
- Blake Tooth, Barbara Etschmann, Gleb S. Pokrovski, Denis Testemale, Jean-Louis Hazemann, Pascal V. Grundler, Joël Brugger
- article
- Geochimica et Cosmochimica Acta, 2013, 101, pp.156-172. ⟨10.1016/j.gca.2012.10.020⟩
- Accès au bibtex
-
- titre
- Crystal structures and spin crossover in the polymeric material [Fe(Htrz)2(trz)](BF4) including coherent-domain size reduction effects
- auteur
- Arnaud Grosjean, Philippe Négrier, Pierre Bordet, Céline Etrillard, Denise Mondieig, Stanislav Péchev, Eric Lebraud, Jean-François Létard, Philippe Guionneau
- article
- European Journal of Inorganic Chemistry, 2013, Spin-Crossover Complexes (Cluster Issue), 2013 (5-6), pp.796-802. ⟨10.1002/ejic.201201121⟩
- Accès au texte intégral et bibtex
-
- titre
- Silver in geological fluids from in situ X-ray absorption spectroscopy and first-principles molecular dynamics
- auteur
- Gleb S. Pokrovski, Jacques Roux, Guillaume Ferlat, Romain Jonchiere, Ari P. Seitsonen, Rodolphe Vuilleumier, Jean-Louis Hazemann
- article
- Geochimica et Cosmochimica Acta, 2013, 106, pp.501-523. ⟨10.1016/j.gca.2012.12.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal chemistry, thermal expansion, and Raman spectra of hydroxyl-clinohumite: implications for water in Earth's interior
- auteur
- Yu Ye, Joseph R. Smyth, Steven D. Jacobsen, Céline Goujon
- article
- Contributions to Mineralogy and Petrology, 2013, 165 (3), pp.563-574. ⟨10.1007/s00410-012-0823-8⟩
- Accès au texte intégral et bibtex
-
- titre
- Les semi-conducteurs supraconducteurs du groupe IV
- auteur
- Thierry Klein, Xavier Blase, Christophe Marcenat, Claude Chapelier, Etienne Bustarret
- article
- Reflets de la Physique, 2013, 33, pp.4-8. ⟨10.1051/refdp/201333004⟩
- Accès au texte intégral et bibtex
-
- titre
- Cooperativity of a few quantum emitters in a single-mode cavity
- auteur
- E. Mascarenhas, D. Gerace, M.F. Santos, Alexia Auffèves
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2013, 88 (6), pp.063825. ⟨10.1103/PhysRevA.88.063825⟩
- Accès au texte intégral et bibtex
-
- titre
- Homogeneous Optical and Electronic Properties of Graphene Due to the Suppression of Multilayer Patches During CVD on Copper Foils
- auteur
- Zheng Han, Amina Kimouche, Dipankar Kalita, Adrien Allain, Hadi Arjmandi-Tash, Antoine Reserbat-Plantey, Laëtitia Marty, Sébastien Pairis, Valérie Reita, Nedjma Bendiab, Johann Coraux, Vincent Bouchiat
- article
- Advanced Functional Materials, 2013, 24 (7), pp.964-970. ⟨10.1002/adfm.201301732⟩
- Accès au bibtex
-
- titre
- First evidence of a phase transition in a high-pressure metal iodate. Structural and thermal studies of AgIO3 polymorphs
- auteur
- Yan Suffren, Isabelle Gautier-Luneau, Céline Darie, Céline Goujon, Murielle Legendre, Olivier Leynaud
- article
- European Journal of Inorganic Chemistry, 2013, 20, pp.3526-3532. ⟨10.1002/ejic.201300191⟩
- Accès au bibtex
-
- titre
- Long range correlations in a 97% excitonic one-dimensional polariton condensate
- auteur
- Aurélien Trichet, Emilien Durupt, François Médard, Sanjoy Datta, Anna Minguzzi, Maxime Richard
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 88, pp.121407(R). ⟨10.1103/PhysRevB.88.121407⟩
- Accès au bibtex
-
- titre
- Scanning Gate Spectroscopy of transport across a Quantum Hall Nano-Island
- auteur
- F. Martins, S. Faniel, B. Rosenow, M. G. Pala, Hermann Sellier, Serge Huant, L. Desplanque, X. Wallart, Vincent Bayot, B. Hackens
- article
- New Journal of Physics, 2013, 15, pp.013049. ⟨10.1088/1367-2630/15/1/013049⟩
- Accès au texte intégral et bibtex
-
- titre
- Planning the electron traffic in semiconductor networks: A mesoscopic analog of the Braess paradox encountered in road networks
- auteur
- Serge Huant, S. Baltazar, Peng Liu, Hermann Sellier, B. Hackens, F. Martins, Vincent Bayot, X. Wallart, L. Desplanque, M. G. Pala
- article
- AIP Conference Proceedings, 2013, 1566, pp.229. ⟨10.1063/1.4848369⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetocaloric Effects in Pr0.6−xErxSr0.4MnO3 (0.0 ≤ x ≤ 0.2) Manganese Oxides
- auteur
- Rafik M'Nassri, W. Cheikhrouhou-Koubaa, Nassira Boudjada, A. Cheikhrouhou
- article
- Journal of Superconductivity and Novel Magnetism, 2013, 26 (5), pp.1429-1435. ⟨10.1007/s10948-012-1995-1⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Observing interferences between past and future quantum states in resonance fluorescence
- auteur
- Philippe Campagne-Ibarcq, Landry Bretheau, Emmanuel Flurin, Alexia Auffèves, François Mallet, Benjamin Huard
- article
- QSCB Symposium, Dec 2013, New Haven, United States
- Accès au bibtex
-
- titre
- Measuring the interferences between past and future quantum states in the resonant fluorescence of a superconducting qubit
- auteur
- Philippe Campagne-Ibarcq, Landry Bretheau, Emmanuel Flurin, Alexia Auffèves, François Mallet, Benjamin Huard
- article
- Réunion plénière du GDR Physique Mésoscopique, Dec 2013, Aussois, France
- Accès au bibtex
-
- titre
- Metal oxide semiconductor structure using oxygen-terminated diamond.
- auteur
- Julien Pernot, Gauthier Chicot, Aurélien Maréchal, Etienne Gheeraert, Pierre Muret
- article
- MRS Fall Meeting 2013 : Symposium S - Diamond Electronics and Biotechnology Fundamentals to Applications VII in Boston, US, Dec 2013, Boston, United States
- Accès au bibtex
-
- titre
- Observing interferences between past and future quantum states in resonance fluorescence
- auteur
- Philippe Campagne-Ibarcq, Landry Bretheau, Emmanuel Flurin, Alexia Auffèves, François Mallet, Benjamin Huard
- article
- Workshop CNRS-Oxford on quantum thermodynamics, Nov 2013, Oxford, United Kingdom
- Accès au bibtex
-
- titre
- Fluorescent molecular nanocrystals in sol-gel thin films or in silicate particles for biophotonics
- auteur
- Josephine Zimmermann, Gwenaëlle Eucat, Fabien Dubois, Yann Bretonniere, Bruno Boury, Boudewijn Pj van Der Sanden, Chantal Andraud, Alain Ibanez
- article
- 2nd International Workshop on Nano and Bio-Photonics, Nov 2013, Biarritz, France
- Accès au bibtex
-
- titre
- Diamond bipolar device simulation
- auteur
- Aurélien Maréchal, Nicolas Clément, Jean-Paul Rouger, Jean-Christophe Crébier, Julien Pernot, S. Koizumi, Tokuyuku Teraji, Etienne Gheeraert
- article
- IEEE Workshop on Wide Bandgap Power Devices and Applications, Oct 2013, Columbus, United States
- Accès au bibtex
-
- titre
- All-parametric dual-wavelength source for difference frequency generation experiments
- auteur
- Vincent Kemlin, David Jegouso, Jérôme Debray, Elodie Boursier, Patricia Segonds, Benoit Boulanger, Hideki Ishizuki, Takunori Taira, Gabriel Mennerat
- article
- Advanced Solid-State Lasers (ASSL), Oct 2013, Paris, France
- Accès au bibtex
-
- titre
- Phase-matched frequency conversion in the Langatate LGT
- auteur
- Elodie Boursier, Patricia Segonds, Corinne Felix, Bertrand Ménaert, Jérôme Debray, Benoit Boulanger, Dmitry Roshchupkin
- article
- Advanced Solid-State Lasers (ASSL), Oct 2013, Paris, France
- Accès au bibtex
-
- titre
- Observing interferences between past and future quantum states in resonance fluorescence
- auteur
- Philippe Campagne-Ibarcq, Landry Bretheau, Emmanuel Flurin, Alexia Auffèves, François Mallet, Benjamin Huard
- article
- Worshop on quantum thermodynamics, Sep 2013, Grenoble, France
- Accès au bibtex
-
- titre
- Diamond power devices: status of delta-doped transistor
- auteur
- Etienne Gheeraert, Aboulaye Traoré, Julien Pernot, Gauthier Chicot, Alexandre Fiori, Franck Omnès, David Eon, Etienne Bustarret, Yiuri Garino, K. Khobaib
- article
- Japanese Society of Applied Physics and Materials Research Society joint meeting, Sep 2013, Kyoto, Japan
- Accès au bibtex
-
- titre
- An experimental approach to some electronic phase transitions in diamond
- auteur
- Etienne Bustarret, Jessica Bousquet, C. Marcenat, Shimpei Ono, Thierry Klein
- article
- French-German Workshop on tuning superconductivity in doped semiconductors, Sep 2013, Bremen, Germany
- Accès au bibtex
-
- titre
- Models and parameters study for diamond electronic devices simulations
- auteur
- Aurélien Maréchal, Nicolas Clément, Jean-Paul Rouger, Jean-Christophe Crébier, Julien Pernot, S. Koizumi, Tokuyuku Teraji, Etienne Gheeraert
- article
- 74th Japan Society of Applied Physics Autumn Meeting. (JSAP-MRS joint symposia), Sep 2013, Kyoto, Japan
- Accès au bibtex
-
- titre
- Click Approaches in Sol-Gel Chemistry
- auteur
- Xavier Cattoën
- article
- XVIIiInternational sol-gel conference, Aug 2013, Madrid, Spain
- Accès au bibtex
-
- titre
- Advanced approach to local magnetic field computation in magnetocaloric materials characterization
- auteur
- Morgan Almanza, Ando Tiana Raminosoa, Afef Kedous-Lebouc, Salvatore Miraglia
- article
- IRMC 2013, XXII International Material Research Congress 2013, Aug 2013, Cancun, Mexico
- Accès au texte intégral et bibtex
-
- titre
- Widely and independently tunable cylindrical OPOs for difference frequency generation experiments
- auteur
- Vincent Kemlin, David Jegouso, Jérôme Debray, Elodie Boursier, Patricia Segonds, Benoit Boulanger, Hideki Ishizuki, Takunori Taira, Gabriel Mennerat
- article
- Non Linear Optics (NLO), Jul 2013, Hawaii, United States
- Accès au bibtex
-
- titre
- Experimental demonstration of coherent beam-combining through five-beam-pumped non-collinear parametric amplification
- auteur
- Benoit Tropheme, Benoit Boulanger, Gabriel Mennerat
- article
- Non Linear Optics (NLO), Jul 2013, Hawaii, United States
- Accès au bibtex
-
- titre
- Diamond interfaces and heterostructures
- auteur
- Pierre Muret
- article
- 1st French Japanese Workshop on Diamond Power Devices, Jun 2013, Chamonix, France
- Accès au bibtex
-
- titre
- Diamond, a new playground for studying electronic phase transitions ?
- auteur
- Etienne Bustarret
- article
- 1st French-Japanese Workshop on Diamond Power Devices, Jun 2013, Chamonix, France
- Accès au bibtex
-
- titre
- Periodically domain−structured KTiOPO4 crystals grown from high temperature solution
- auteur
- Alexandra Pena, Bertrand Ménaert, Benoit Boulanger, Jerome Debray, Carlota Canalias, Andrius Zakauskas, Valdas Pasiskevicius, Fredrick Laurell
- article
- Collaborative Conference on Crystal Growth, Jun 2013, Cancun, Mexico
- Accès au texte intégral et bibtex
-
- titre
- Recent advances in monoclinic crystal optics : from modeling to characterization of the absorption and fluorescence angular distributions
- auteur
- Patricia Segonds, Benoit Boulanger, Yannick Petit, Simon Joly, Corinne Felix, Jérôme Debray, Bertrand Ménaert
- article
- BIT's 2nd Annual World Congress of Advance Materials, Jun 2013, Suzhou, China
- Accès au bibtex
-
- titre
- New advances in large aperture periodically poled ferroelectric crystals for quasi-phase-matched optical nonlinear frequency conversion
- auteur
- Patricia Segonds, Benoit Boulanger, Alexandra Peña, Vincent Kemlin, David Jegouso, Jérôme Debray, Bertrand Ménaert, Hideki Ishizuki, Takunori Taira, Carlota Canalias, Valdas Pasiskevicius, Fredrik Laurell
- article
- BIT's 2nd Annual World Congress of Advance Materials, Jun 2013, Suzhou, China
- Accès au bibtex
-
- titre
- HR-TEM study of GaN-GaP type II interfaces
- auteur
- Catherine Bougerol, Joël Eymery, Charles Cornet, Antoine Létoublon, Christophe Durand
- article
- 18th Microscopy of Semiconducting Materials MSMXVIII, Apr 2013, Oxford, United Kingdom
- Accès au bibtex
-
- titre
- Nano-Newton Transverse Force Sensor Using a Vertical GaN Nanowire Based on Piezotronic Effect
- auteur
- Y. Zhou, R. Hinchet, Y. Yang, R. Songmuang, F. Zhang, Y. Zhang, W. Han, G. Ardila, L. Montès, M. Mouis, Z.L. Wang
- article
- 2013 Material Research Society Spring Meeting and exhibit, book of abstracts (2013 MRS Spring Meeting), Apr 2013, San Francisco, CA, United States. pp.TT4.10
- Accès au bibtex
-
- titre
- PiezoNEMS: new concepts for sensors and energy harvesting devices
- auteur
- L. Montès, R. Hinchet, X. Xu, A. Potié, B. Bercu, F. Rochette, Gustavo Ardila, P. Morfouli, Mireille Mouis, T. Baron., B. Salem, Rudeesun Songmuang
- article
- Workshop on Next generation of self-power smart sensors for environmental monitoring, Mar 2013, Nanyang, Singapore
- Accès au bibtex
-
- titre
- CdSe/ZnTe superlattices optimized as absorbers for photovoltaics
- auteur
- Régis André, Edith Bellet-Amalric, Joël Bleuse, Catherine Bougerol, Soline Richard, Lionel Gérard, Henri Mariette, Jan-Peter Richters
- article
- 17th European Molecular Beam Epitaxy Workshop (EuroMBE), Mar 2013, Levi, Finland
- Accès au bibtex
-
- titre
- PiezoNEMS: How to enhance piezoelectricity and piezoresistance in semiconductor nanowire for sensors and energy devices
- auteur
- L. Montes, R. Lefevre, A. Salette, D. Rabaud, L. Dargent, H. Marko, X. Xu, A. Potie, B. Bercu, R. Hinchet, F. Rochette, Gustavo Ardila, P. Morfouli, Mireille Mouis, T. Baron., B. Salem, Rudeesun Songmuang
- article
- Indo-US International Workshop on NanoSensor Science & Technology, IWNST 2013, Feb 2013, Berhampur, India
- Accès au bibtex
-
- titre
- Scanning gate spectroscopy of a quantum Hall island near a quantum point contact
- auteur
- B. Hackens, F. Martins, S. Faniel, V. Bayot, B. Rosenow, L. Desplanque, X. Wallart, M. Pala, H. Sellier, S. Huant
- article
- American Physical Society March Meeting, APS March Meeting 2013, 2013, Baltimore, MD, United States
- Accès au bibtex
-
- titre
- Coherence and Coulomb blockade in ultra-small quantum Hall islands
- auteur
- F. Martins, S. Faniel, Hermann Sellier, Serge Huant, M.G. Pala, L. Desplanque, X. Wallart, B. Rosenow, Vincent Bayot, B. Hackens
- article
- 16ème Forum des Microscopies à Sondes Locales, 2013, Spa, Belgium. papier OC23, 41-42
- Accès au bibtex
-
- titre
- A novel mesoscopic phenomenon : an analog of the Braess paradox in 2DEG networks
- auteur
- H. Sellier, M. Pala, S. Baltazar, P. Liu, B. Hackens, F. Martins, X. Wallart, L. Desplanque, V. Bayot, S. Huant
- article
- 16th International Conference on Modulated Semiconductor Structures, MSS-16, 2013, Wroclaw, Poland
- Accès au bibtex
-
- titre
- Parameter adjustement for diamond electronic devices simulation
- auteur
- Aurélien Maréchal, Nicolas Clement, Jean-Paul Rouger, Etienne Gheeraert, Jean-Christophe Crébier, Julien Pernot, S. Koizumi, Tokuyuku Teraji
- article
- XVIIIth SBDD 2013, 2013, Hasselt, Belgium
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Toward room temperature one-dimensional quantum fluid in the solid state: Exciton polaritons in ZnO microwires
- auteur
- François Médard, Aurélien Trichet, Z. Chen, Daniel Le-Si Dang, Maxime Richard
- article
- Alberto Bramati, Michele Modugno. Physics of Quantum Fluids: New trends and hot topics in atomic and polariton condensates, springer-verlag, pp.231, 2013
- Accès au bibtex
-
HDR
- titre
- Optical control of individual spins in magnetic and charged quantum dots
- auteur
- Lucien Besombes
- article
- Other [cond-mat.other]. Université de Grenoble, 2013
- Accès au texte intégral et bibtex
-
Ouvrages
- titre
- Proceedings of the Thirteenth European Powder Diffraction Conference
- auteur
- Michela Brunelli, Pierre Bordet, Paolo Scardi
- article
- J C P D S-INT CENTRE DIFFRACTION DATA, 12 CAMPUS BLVD, NEWTOWN SQ, PA 19073-3273 USA, pp.200, 2013, ⟨10.1017/S088571561300122X⟩
- Accès au bibtex
-
Poster de conférence
- titre
- Progress towards the bulk growth of periodically domain-structured KTiOPO4 crystals with short grating periods
- auteur
- Alexandra Pena, Bertrand Ménaert, Benoit Boulanger, Jérôme Debray, Patricia Segonds, Carlota Canalias, Valdas Pasiskevicius, Andrius Zakauskas, Fredrik Laurell
- article
- The 17th International Conference on Crystal Growth & Epitaxy ICCGE-17, Aug 2013, Warsaw, Poland
- Accès au bibtex
-
- titre
- Investigation of Mg2(Si,Sn) thin films deposited by microwave plasma-assisted co-sputtering
- auteur
- C. Prahoveanu, A. Lacoste, L. Laversenne, C. de Vaulx, K. Azzouz
- article
- GDR Thermoélectricité 2013, 2013, Nancy, France
- Accès au bibtex
-
Thèse
- titre
- Propriétés structurales et magnétiques de composés intermétalliques à base de terres rares, cobalt et métalloïdes
- auteur
- Ancuta-Ioana Laslo
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université de Grenoble; Universitatea Babeș-Bolyai (Cluj-Napoca, Roumanie), 2013. Français. ⟨NNT : 2013GRENY069⟩
- Accès au texte intégral et bibtex
-
- titre
- Structures de semiconducteurs II-VI à alignement de bandes de type II pour le photovoltaïque
- auteur
- Lionel Gérard
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université de Grenoble, 2013. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Effet de champs dans le diamant dopé au bore
- auteur
- Gauthier Chicot
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENT086⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectroscopie magnéto-optique de nanostructures semiconductrices magnétiques
- auteur
- Petr Stepanov
- article
- Autre [cond-mat.other]. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENY042⟩
- Accès au texte intégral et bibtex
-
- titre
- Croissance catalysée de nanofils de ZnSe avec boîtes quantiques de CdSe
- auteur
- Miryam Elouneg-Jamroz
- article
- Autre [cond-mat.other]. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENY049⟩
- Accès au texte intégral et bibtex
-
- titre
- Parametric infrared generation : from crystals to devices
- auteur
- Vincent Kemlin
- article
- Optics [physics.optics]. Université de Grenoble, 2013. English. ⟨NNT : 2013GRENT114⟩
- Accès au texte intégral et bibtex
-
- titre
- Elaboration d'un alliage métallique de structure cubique centrée pour le stockage portatif de l'hydrogène
- auteur
- Damien Planté
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENY077⟩
- Accès au texte intégral et bibtex
-
- titre
- Élaboration et Caractérisations physiques des manganites à effet magnetocalorique .
- auteur
- Rafik M'Nassri
- article
- Matériaux. Université de Grenoble; Université de Sfax. Faculté des sciences, 2013. Français. ⟨NNT : 2013GRENY076⟩
- Accès au texte intégral et bibtex
-
- titre
- Optomécanique en cavité cryogénique avec un micro-pilier pour l'observation du régime quantique d'un résonateur mécanique macroscopique
- auteur
- Aurélien G. Kuhn
- article
- Physique Quantique [quant-ph]. Université Pierre et Marie Curie - Paris VI, 2013. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Les nanotechnologies dans l'enseignement secondaire : une recherche sur la compréhension des controverses "nanos" par des lycéens
- auteur
- Bénédicte Hingant
- article
- Education. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENS023⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Phase diagrams of magnetopolariton gases
- auteur
- V. P. Kochereshko, M. V. Durnev, Lucien Besombes, Henri Mariette, V. F. Sapega, A. Axitopoulos, I. G. Savenko, T. C. H. Liew, I. A. Shelykh, A. V. Platonov, S. I. Tsintzos, Z. Hatzopoulos, P. Lagoudakis, P. G. Savvidis, C. Schneider, M. Amthor, C. Metzger, M. Kamp, S. Hoefling, A. Kavokin
- article
- 2013
- Accès au bibtex
-
2012
Article dans une revue
- titre
- Magnetic Order Through Super-Superexchanges in the Polar Magnetoelectric Organic-Inorganic Hybrid Cr [(D3N-(CH2) 2-PO3)(Cl)(D2O)]
- auteur
- Gwilherm Nenert, Hyun-Joo Koo, Claire Colin, E. Bauer, Carlo Bellito, C. Ritter, Giancarlo C. Righini, Myung-Hwan Whangbo
- article
- Inorganic Chemistry, 2012, 52, pp.753. ⟨10.1021/ic301874v⟩
- Accès au bibtex
-
- titre
- Effect of Ga Substitution on the Magnetic State of Delafossite CuCrO2 with Antiferromagnetic Triangular Sublattice
- auteur
- T. Elkhouni, Claire Colin, Pierre Strobel, A. Ben Salah, M. Amami
- article
- Journal of Superconductivity and Novel Magnetism, 2012, 26, pp.2125. ⟨10.1007/s10948-012-1807-7⟩
- Accès au bibtex
-
- titre
- Birefringence and polarization rotation in resonant x-ray diffraction
- auteur
- Yves Joly, S. P. Collins, Stéphane Grenier, Hélio Tolentino, Maurizio de Santis
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86, pp.220101(R). ⟨10.1103/PhysRevB.86.220101⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of twins in Ni substrates on the microstructure of La2Zr2O7 films for coated conductors
- auteur
- Sarah Petit, Sébastien Pairis, Mélissa Mikolajczyk, Luc Ortega, Jean-Louis Soubeyroux, Philippe Odier
- article
- Thin Solid Films, 2012, 531, pp.545-551. ⟨10.1016/j.tsf.2012.11.054⟩
- Accès au texte intégral et bibtex
-
- titre
- Core-shell and doped silicate nanoparticles prepared by a spray-drying process for the development of biological luminescent tracer
- auteur
- Cécile Philippot, Fabien Dubois, Adrien Bourdolle, Olivier Maury, Bruno Boury, Chantal Andraud, Alain Ibanez
- article
- Nonlinear optics, quantum optics , 2012, 45 (1-2), pp.141-151
- Accès au bibtex
-
- titre
- Bulk PPKTP by crystal growth from high temperature solution
- auteur
- Alexandra Pena, Bertrand Ménaert, Benoit Boulanger, Fredrik Laurell, Carlota Canalias, Valdas Pasiskevicius, Luc Ortega, Patricia Segonds, Jerome Debray, Corinne Felix
- article
- Journal of Crystal Growth, 2012, 360, pp.52. ⟨10.1016/j.jcrysgro.2011.11.081⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal structure and magnetic properties of novel Hf3Ni2Si3-type R3Co2Ge3 compounds (R=Y, Sm, Tb-Tm)
- auteur
- A. V. Morozkin, R. Nirmala, J.L. Yao, Y. Mozharivskyj, Olivier Isnard
- article
- Journal of Solid State Chemistry, 2012, 196, pp.93-99. ⟨10.1016/j.jssc.2012.07.053⟩
- Accès au bibtex
-
- titre
- Diffraction/scattering computed tomography for three-dimensional characterization of multi-phase crystalline and amorphous materials
- auteur
- Michelle Alvarez-Murga, Pierre Bleuet, Jean-Louis Hodeau
- article
- Journal of Applied Crystallography, 2012, 45 (6), pp.1109-1124. ⟨10.1107/S0021889812041039⟩
- Accès au bibtex
-
- titre
- Dissociation dynamics of singly charged vortices into half-quantum vortex pairs
- auteur
- F. Manni, K. G. Lagoudakis, T. C. H. Liew, Régis André, V. Savona, B. Deveaud
- article
- Nature Communications, 2012, 3, pp.1309. ⟨10.1038/ncomms2310⟩
- Accès au bibtex
-
- titre
- Spin properties of trions in a dense quasi-2D electron gas
- auteur
- V.P. Kochereshko, Lucien Besombes, R.T. Cox, Henri Mariette, T. Wojtowicz, Grzegorz Karczewski, J. Kossut
- article
- Fizika i tekhnika poluprovodnicov / Semiconductors, 2012, 46 (12), pp.1502-1505. ⟨10.1134/S1063782612120081⟩
- Accès au bibtex
-
- titre
- Speciation of nickel (II) chloride complexes in hydrothermal fluids: In situ XAS study
- auteur
- Yuan Tian, Barbara Etschmann, Weihua Liu, Stacey Borg, Yuan Mei, Denis Testemale, Brian O'Neill, Nick Rae, David Sherman, Yung Ngothai, Bernt Johannessen, Chris Glover, Joël Brugger
- article
- Chemical Geology, 2012, 334, pp.345-363. ⟨10.1016/j.chemgeo.2012.10.010⟩
- Accès au bibtex
-
- titre
- Why do atomic force microscopy force curves still exhibit jump to contact?
- auteur
- Mario S. Rodriguès, Luca Costa, Joël Chevrier, Fabio Comin
- article
- Applied Physics Letters, 2012, 101, pp.203105. ⟨10.1063/1.4766172⟩
- Accès au bibtex
-
- titre
- Determination of valence-band offset at cubic CdSe/ZnTe type-II heterojunctions: A combined experimental and theoretical approach
- auteur
- Daniel Mourad, Jan-Peter Richters, Lionel Gérard, Régis André, Joël Bleuse, Henri Mariette
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86, pp.195308. ⟨10.1103/PhysRevB.86.195308⟩
- Accès au texte intégral et bibtex
-
- titre
- The Role of Order-Disorder Transitions in the Quest for Molecular Multiferroics: Structural and Magnetic Neutron Studies of a Mixed Valence Iron (II)-Iron (III) Formate Framework
- auteur
- Laura Canadillas-Delgado, Oscar Fabelo, J. Alberto Rodríguez-Velamazán, Marie-Hélène Lemée-Cailleau, Sax A. Mason, Emilio Pardo, Francesc Lloret, Jiong-Peng Zhao, Xian-He Bu, Virginie Simonet, Claire Colin, Juan Rodríguez-Carvajal
- article
- Journal of the American Chemical Society, 2012, 134, pp.19772. ⟨10.1021/ja3082457⟩
- Accès au bibtex
-
- titre
- Magnetic structure of the Sm5Ge4-type Tb2Ti3Ge4
- auteur
- A. V. Morozkin, R. Nirmala, S.K Malik, Olivier Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2012, 324 (23), pp.4030-4033. ⟨10.1016/j.jmmm.2012.07.009⟩
- Accès au bibtex
-
- titre
- Absence of boron aggregates in superconducting silicon confirmed by atom probe tomography
- auteur
- Khalid Hoummada, Franck Dahlem, Thierry Kociniewski, Jacques Boulmer, Christiane Dubois, Gilles Prudon, Etienne Bustarret, Hervé Courtois, Dominique Débarre, Dominique Mangelinck
- article
- Applied Physics Letters, 2012, 101, pp.182602. ⟨10.1063/1.4760261⟩
- Accès au texte intégral et bibtex
-
- titre
- Publisher's Note: Determination of valence-band offset at cubic CdSe/ZnTe type-II heterojunctions: A combined experimental and theoretical approach [Phys. Rev. B 86 , 195308 (2012)]
- auteur
- Daniel Mourad, Jan-Peter Richters, Lionel Gérard, Régis André, Joël Bleuse, Henri Mariette
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86 (19), pp.199902. ⟨10.1103/PhysRevB.86.199902⟩
- Accès au bibtex
-
- titre
- Formation of oriented nanostructures in diamond using metallic nanoparticles
- auteur
- Hasan-Al Mehedi, Clément Hébert, Sébastien Ruffinatto, David Eon, Franck Omnès, Etienne Gheeraert
- article
- Nanotechnology, 2012, 23 (45), pp.455302. ⟨10.1088/0957-4484/23/45/455302⟩
- Accès au bibtex
-
- titre
- Hole transport in boron delta-doped diamond structures
- auteur
- Gauthier Chicot, Thu Nhi Tran Thi, Alexandre Fiori, François Jomard, Etienne Gheeraert, Etienne Bustarret, Julien Pernot
- article
- Applied Physics Letters, 2012, 101, pp.162101. ⟨10.1063/1.4758994⟩
- Accès au texte intégral et bibtex
-
- titre
- Catalyst-assisted hydride vapor phase epitaxy of GaN nanowires: exceptional length and constant rod-like shape capability
- auteur
- Kaddour Lekhal, G. Avit, Y. André, Agnès Trassoudaine, E. Gil, Christelle Varenne, Catherine Bougerol, Guillaume Monier, D. Castelluci
- article
- Nanotechnology, 2012, 23 (40), pp.405601. ⟨10.1088/0957-4484/23/40/40560⟩
- Accès au bibtex
-
- titre
- Study of Ga3+-induced hydrothermal crystallization of a alpha-quartz type Ga1-xFexPO4 single crystal by in-situ X-ray Absorption Spectroscopy (XAS)
- auteur
- Souleiman Manhal, Olivier Cambon, A. Haidoux, Julien Haines, Claire Levelut, Vincent Ranieri, Jean-Louis Hazemann
- article
- Inorganic Chemistry, 2012, 51 (21), pp.11811-11819. ⟨10.1021/ic3017485⟩
- Accès au bibtex
-
- titre
- Penrose-Onsager Criterion Validation in a One-Dimensional Polariton Condensate
- auteur
- F. Manni, K. G. Lagoudakis, Régis André, M. Wouters, B. Deveaud-Plédran
- article
- Physical Review Letters, 2012, 109 (15), pp.150409. ⟨10.1103/PhysRevLett.109.150409⟩
- Accès au bibtex
-
- titre
- Structural characterization, vibrational and optical properties of a novel one-dimensional organic-inorganic hybrid based-iodobismuthate(III) material, [C10H7NH3]BiI4
- auteur
- Chakib Hrizi, Najla N. Chaari, Younes Abid, Boudjada Nassira, Slah Chaabouni
- article
- Polyhedron, 2012, 46 (1), pp.41-46. ⟨10.1016/j.poly.2012.07.062⟩
- Accès au bibtex
-
- titre
- Thermistor behaviour and electric conduction analysis of Ni-doped niobate ferroelectric: the role of multiple β parameters
- auteur
- Silvania Lanfredi, Gustavo Palacio, Felipe S. Bellucci, Claire Colin, Marcos A.L. Nobre
- article
- Journal of Physics D: Applied Physics, 2012, 45, pp.435302. ⟨10.1088/0022-3727/45/43/435302⟩
- Accès au bibtex
-
- titre
- Optical control of the spin state of two Mn atoms in a quantum dot
- auteur
- Lucien Besombes, Chong Long Cao, Ségolène Jamet, Hervé Boukari, Joaquin Fernandez-Rossier
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86, pp.165306. ⟨10.1103/PhysRevB.86.165306⟩
- Accès au texte intégral et bibtex
-
- titre
- Correlation of polarity and crystal structure with optoelectronic and transport properties of GaN/AlN/GaN nanowire sensors
- auteur
- Martien den Hertog, F. Gonzalez-Posada, Rudeesun Songmuang, Jean-Luc Rouviere, Thierry Fournier, Bruno Fernandez, Eva Monroy
- article
- Nano Letters, 2012, 12, pp.5691-5696. ⟨10.1021/nl302890f⟩
- Accès au bibtex
-
- titre
- Heat-treatment influence on Ni-Fe-Cu-Mo nanocrystalline alloy obtained by mechanical alloying
- auteur
- Florin Popa, Ionel Chicinas, Olivier Isnard, Viorel Pop
- article
- Journal of Thermal Analysis and Calorimetry, 2012, 110, pp.295-299. ⟨10.1007/s10973-012-2289-3⟩
- Accès au bibtex
-
- titre
- Influence of the heat treatment conditions on the formation of CuFe2O4 from mechanical milled precursors oxides
- auteur
- Traian Marinca, Olivier Isnard, Ionel Chicinas
- article
- Journal of Thermal Analysis and Calorimetry, 2012, 110, pp.301-307. ⟨10.1007/s10973-012-2250-5⟩
- Accès au bibtex
-
- titre
- Influence of Amorphous Carbon Doping on Superconductivity of MgB2/Nb/Cu Wires
- auteur
- Gaofeng Jiao, Guoqing Liu, Eric Mossang, André Sulpice, Qingyang Wang, Xiaomei Xiong, Guo Yan, Pingxiang Zhang
- article
- Rare Metal Materials and Engineering, 2012, 41 (10), pp.1709-1712. ⟨10.1016/S1875-5372(13)60010-7⟩
- Accès au bibtex
-
- titre
- Study of the quasi two-dimensional CoxNi1-xTa2O6 compounds by X-ray diffraction and magnetic susceptibility measurements
- auteur
- S. R. de Oliveira Neto, E. J. Kinast, M. A. Gusmao, C. A. dos Santos, Olivier Isnard, J. B. M. da Cunha
- article
- Journal of Magnetism and Magnetic Materials, 2012, 324, pp.3245-3251. ⟨10.1016/J.JMMM.2012.04.020⟩
- Accès au bibtex
-
- titre
- Possible Quantum Diffusion of Polaronic Muons in Dy 2 Ti 2 O 7 Spin Ice
- auteur
- Pascal Quemerais, Paul Mcclarty, Roderich Moessner
- article
- Physical Review Letters, 2012, 109, pp.127601. ⟨10.1103/PhysRevLett.109.127601⟩
- Accès au bibtex
-
- titre
- X-ray photoelectron spectroscopy and magnetic properties of Ce2Co15Mn3 compound
- auteur
- Olivier Isnard, A. Popescu, R. Dudric, M. Coldea
- article
- Journal of Alloys and Compounds, 2012, 535, pp.10-14. ⟨10.1016/j.jallcom.2012.04.047⟩
- Accès au bibtex
-
- titre
- Numerical studies of confined states in rotated bilayers of graphene
- auteur
- Guy Trambly de Laissardière, Didier Mayou, Laurence Magaud
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86 (12), pp.125413. ⟨10.1103/PhysRevB.86.125413⟩
- Accès au bibtex
-
- titre
- Magnetic structure of the La3NiGe2-type Tb3NiGe2 and Mn5Si3-type Tb5NixGe3-x (x=0 and 0.3)
- auteur
- A. V. Morozkin, Y. Mozharivskyj, J.L. Yao, Olivier Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2012, 324, pp.2977-2982. ⟨10.1016/j.jmmm.2012.04.023⟩
- Accès au bibtex
-
- titre
- Donor and acceptor levels in ZnO homoepitaxial thin films grown by molecular beam epitaxy and doped with plasma-activated nitrogen
- auteur
- Pierre Muret, Dimitri Tainoff, Christian Morhain, Jean-Michel Chauveau
- article
- Applied Physics Letters, 2012, 101, pp.122104. ⟨10.1063/1.4751857⟩
- Accès au bibtex
-
- titre
- Photoluminescence of single quantum wires and quantum dots
- auteur
- V. Kochereshko, V. Kats, A. Platonov, R. Suris, G. Cirlin, A. Buravlev, Yu. Samsonenko, L. Besombes, C. Le Gal, H. Mariette
- article
- Journal of Surface Investigation: X-ray, Synchrotron and Neutron Techniques, 2012, 6 (5), pp.722-725. ⟨10.1134/S1027451012060158⟩
- Accès au bibtex
-
- titre
- Extraction of the homogeneous linewidth of the spectrally diffusing line of a CdSe/ZnSe quantum dot embedded in a nanowire
- auteur
- Samir Bounouar, Aurélien Trichet, Miryam Elouneg-Jamroz, Régis André, E. Bellet-Amalric, Catherine Bougerol, Martien den Hertog, Kuntheak Kheng, Serge Tatarenko, Jean-Philippe Poizat
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86, pp.085325. ⟨10.1103/PhysRevB.86.085325⟩
- Accès au texte intégral et bibtex
-
- titre
- Universal optimal broadband photon cloning and entanglement creation in one-dimensional atoms
- auteur
- Daniel Valente, Y. Li, Jean-Philippe Poizat, Jean-Michel Gérard, L.C. Kweck, Marcelo F. Santos, Alexia Auffèves
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2012, 86, pp.022333. ⟨10.1103/PhysRevA.86.022333⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimal Irreversible Stimulated Emission
- auteur
- Daniel Valente, Li Ying, Jean-Philippe Poizat, Jean-Michel Gérard, L.C. Kwek, M. F. Santos, Alexia Auffèves
- article
- New Journal of Physics, 2012, 14, pp.083029. ⟨10.1088/1367-2630/14/8/083029⟩
- Accès au bibtex
-
- titre
- Elaboration, structure and fluorescence spectroscopy of iodophenyl-BODIPY crystals
- auteur
- Emilie Dubuisson, Sophie Badré, Isabelle Gautier-Luneau, Gilles Ulrich, Jean-Pierre Lemaistre, Robert Bernard Pansu, Alain Ibanez
- article
- Dyes and Pigments, 2012, 96 (1), pp.296-303. ⟨10.1016/j.dyepig.2012.08.001⟩
- Accès au bibtex
-
- titre
- Investigation of nickel lattice sites in diamond: Density functional theory and x-ray absorption near-edge structure experiments
- auteur
- Etienne Gheeraert, Amit Kumar, Etienne Bustarret, Laurent Ranno, Laurence Magaud, Yves Joly, Sakura Pascarelli, M. P. Ruffoni, D. K. Avasthi, H. Kanda
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86 (5), pp.054116. ⟨10.1103/PhysRevB.86.054116⟩
- Accès au bibtex
-
- titre
- Electron spin resonance detected by a superconducting qubit
- auteur
- Y. Kubo, Igor Diniz, C. Grezes, T. Umeda, J. Isoya, Hitoshi Sumiya, H. Abe, S. Onoda, Vincent Jacques, A. Dréau, Jean-François Roch, Alexia Auffèves, Denis Vion, Daniel Estève, Patrice Bertet
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86 (6), pp.064514. ⟨10.1103/PhysRevB.86.064514⟩
- Accès au bibtex
-
- titre
- Four-wave mixing excitations in a dissipative polariton quantum fluid
- auteur
- Verena Kohnle, Yoan Léger, Michiel Wouters, Maxime Richard, Marcia Portella-Oberli, Benoit Deveaud-Plédran
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86, pp.064508. ⟨10.1103/PhysRevB.86.064508⟩
- Accès au bibtex
-
- titre
- Magnetic behavior of the NixFe1-xNb2O6 quasi-one-dimensional system: Isolation of Ising chains by frustration
- auteur
- P. W. C. Sarvezuk, M. A. Gusmao, J. B. M. da Cunha, Olivier Isnard
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86, pp.54435. ⟨10.1103/PHYSREVB.86.054435⟩
- Accès au texte intégral et bibtex
-
- titre
- XAS evidence for the stability of polytellurides in hydrothermal fluids up to 599 °C, 800 bar
- auteur
- Joel Brugger, Barbara Etschmann, Pascal V. Grundler, Weihua Liu, Denis Testemale, Allan Pring
- article
- The American Mineralogist, 2012, 97 (8-9), pp.1519-1522. ⟨10.2138/am.2012.4167⟩
- Accès au bibtex
-
- titre
- Correlation among Structure, Microstructure, and Electrochemical Properties of NiAl-CO3 Layered Double Hydroxide Thin Films
- auteur
- A. Faour, Christine Mousty, V. Prevot, Bertrand Devouard, André de Roy, Pierre Bordet, Eric Elkaim, Christine Taviot-Gueho
- article
- Journal of Physical Chemistry C, 2012, 116 (29), pp.15646-15659. ⟨10.1021/jp300780w⟩
- Accès au bibtex
-
- titre
- Compressed Graphite'' Formed During C-60 to Diamond Transformation as Revealed by Scattering Computed Tomography
- auteur
- Michelle Alvarez-Murga, Pierre Bleuet, Gaston Garbarino, Ashkan Salamat, Mohamed Mezouar, Jean-Louis Hodeau
- article
- Physical Review Letters, 2012, 109 (2), pp.025502. ⟨10.1103/PhysRevLett.109.025502⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic properties of GdCo12B6 compound under high pressures
- auteur
- Z. Arnold, Olivier Isnard, Hervé Mayot, Y. Skorokhod, J. Kamarád, M. Míšek
- article
- Solid State Communications, 2012, 152, pp.1164-1167. ⟨10.1016/J.SSC.2012.03.038⟩
- Accès au bibtex
-
- titre
- ZnO/ZnSe type II core–shell nanowire array solar cell
- auteur
- Yong Zhang, Zhiming Wu, Jinjian Zheng, Xiangan Lin, Huahan Zhan, Shuping Li, Joel Bleuse, H. Mariette
- article
- Solar Energy Materials and Solar Cells, 2012, 102, pp.15-18. ⟨10.1016/j.solmat.2011.12.015⟩
- Accès au bibtex
-
- titre
- Coherent response of individual weakly confined exciton-biexciton systems
- auteur
- Jacek Kasprzak, Langbein Wolfgang
- article
- Journal of the Optical Society of America B, 2012, 29 (7), pp.1766. ⟨10.1364/JOSAB.29.001766⟩
- Accès au texte intégral et bibtex
-
- titre
- Surface Science Approach to the Solid-Liquid Interface: Surface-Dependent Precipitation of Ni(OH)2 on a-Al2O3 Surfaces
- auteur
- Asma Tougerti, Isabelle Llorens, Francesco d'Acapito, Emiliano Fonda, Jean-Louis Hazemann, Yves Joly, Dominique Thiaudi Ère, Michel Che, Xavier Carrier
- article
- Angewandte Chemie International Edition, 2012, 51, pp.7697-7701. ⟨10.1002/anie.201201349⟩
- Accès au bibtex
-
- titre
- Charge order as seen by resonant (elastic) X-ray scattering
- auteur
- José-Emilio Lorenzo, Yves Joly, Danny Mannix, Stéphane Grenier
- article
- The European Physical Journal. Special Topics, 2012, 208, pp.121. ⟨10.1140/epjst/e2012-01612-5⟩
- Accès au bibtex
-
- titre
- Depth-resolved magnetization distribution in ultra thin films by soft X-ray resonant magnetic reflectivity
- auteur
- Jean-Marc Tonnerre, Emmanuelle Jal, Elsa Bontempi, Nicolas Jaouen, Marta Elzo, Stéphane Grenier, Holger Meyerheim, Marek Przybylski
- article
- The European Physical Journal. Special Topics, 2012, 208 (1), pp.177. ⟨10.1140/epjst/e2012-01618-y⟩
- Accès au bibtex
-
- titre
- Trends in anomalous small-angle X-ray scattering in grazing incidence for supported nanoalloyed and core-shell metallic nanoparticles
- auteur
- Pascal Andreazza, Hocine Kelfane, Caroline Andreazza-Vignolle, Olivier Lyon, Aline Y. Ramos
- article
- The European Physical Journal. Special Topics, 2012, 208 (1), pp.231-234. ⟨10.1140/epjst/e2012-01621-4⟩
- Accès au bibtex
-
- titre
- High energy resolution five-crystal spectrometer for high quality fluorescence and absorption measurements on an X-ray Absorption Spectroscopy beamline
- auteur
- Isabelle Llorens, Eric Lahera, William Delnet, Olivier Proux, Aurélien Braillard, Jean-Louis Hazemann, Alain Prat, Denis Testemale, Quentin Dermigny, Frédéric Gélébart, Marc Morand, Abhay Shukla, Nathalie Bardou, Olivier Ulrich, Stephan Arnaud, Jean-François Bérar, Nathalie Boudet, Bernard Caillot, Perrine Chaurand, Jérôme Rose, Emmanuel Doelsch, Philippe Martin, Pier Lorenzo Solari
- article
- Review of Scientific Instruments, 2012, 83 (6), pp.063104. ⟨10.1063/1.4728414⟩
- Accès au texte intégral et bibtex
-
- titre
- Tuning Near Field Radiative Heat Flux through Surface Excitations with a Metal Insulator Transition
- auteur
- Pieter van Zwol, Laurent Ranno, Joël Chevrier
- article
- Physical Review Letters, 2012, 108, pp.234301. ⟨10.1103/PhysRevLett.108.234301⟩
- Accès au bibtex
-
- titre
- Symmetry adapted analysis of the magnetic and structural phase diagram of Bi$_{1-x}$Y$_x$CrO$_3$
- auteur
- Claire Colin, Alejandro Gomez-Perez, Pierre Bordet, Céline Goujon, Céline Darie
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85, pp.224103. ⟨10.1103/PhysRevB.85.224103⟩
- Accès au texte intégral et bibtex
-
- titre
- Cu2ZnSn(S1−xSex)4 based solar cell produced by selenization of vacuum deposited precursors
- auteur
- Louis Grenet, Sergio Bernardi, David Kohen, Christophe Lepoittevin, Sébastien Noël, Nicolas Karst, Arnaud Brioude, Simon Perraud, Henri Mariette
- article
- Solar Energy Materials and Solar Cells, 2012, 101, pp.11 - 14. ⟨10.1016/j.solmat.2012.02.016⟩
- Accès au bibtex
-
- titre
- X-ray resonant powder diffraction
- auteur
- Hervé Palancher, S. Bos, Jean-François Bérar, Irene Margiolaki, Jean-Louis Hodeau
- article
- The European Physical Journal. Special Topics, 2012, 208 (1), pp.275-289. ⟨10.1140/epjst/e2012-01624-1⟩
- Accès au bibtex
-
- titre
- Importance of interplane coupling on the magnetic phases of quasi-two-dimensional tantalites
- auteur
- E. G. Santos, J.M.B. da Cunha, Olivier Isnard, Claudine Lacroix, M.A. Gusmão
- article
- Journal of Physics: Condensed Matter, 2012, 24, pp.256005. ⟨10.1088/0953-8984/24/25/256005⟩
- Accès au bibtex
-
- titre
- AC magnetic properties of the soft magnetic composites based on Supermalloy nanocrystalline powder prepared by mechanical alloyin
- auteur
- B. V. Neamtu, Olivier Geoffroy, I. Chicinas, Olivier Isnard
- article
- Materials Science and Engineering: B, 2012, 177, pp.661-665. ⟨10.1016/j.mseb.2012.03.029⟩
- Accès au bibtex
-
- titre
- In situ study of self-assembled GaN nanowires nucleation on Si(111) by plasma-assisted molecular beam epitaxy
- auteur
- Karine Hestroffer, Cedric Leclere, V. Cantelli, Catherine Bougerol, Hubert Renevier, B. Daudin
- article
- Applied Physics Letters, 2012, 100, pp.212107. ⟨10.1063/1.4721521⟩
- Accès au bibtex
-
- titre
- Electron-nuclei spin dynamics in II-VI semiconductor quantum dots
- auteur
- Claire Le Gall, Adalberto Brunetti, Hervé Boukari, Lucien Besombes
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85, pp.195312. ⟨10.1103/PhysRevB.85.195312⟩
- Accès au texte intégral et bibtex
-
- titre
- Chemically ordered MnPt ultrathin films on Pt(001) substrate: Growth, atomic structure, and magnetic properties
- auteur
- Marcio Soares, Maurizio de Santis, Hélio Tolentino, Aline Y. Ramos, Mohammad El Jawad, Yves Gauthier, Fikret Yildiz, Marek Przybylski
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85 (20), pp.205417. ⟨10.1103/PhysRevB.85.205417⟩
- Accès au texte intégral et bibtex
-
- titre
- Silencing and enhancement of second-harmonic generation in optical gap antennas
- auteur
- Johann Berthelot, Guillaume Bachelier, Mingxia Song, Padmnabh Rai, Gérard Colas Des Francs, Alain Dereux, A. Bouhelier
- article
- Optics Express, 2012, 20 (10), pp.10498-10508. ⟨10.1364/OE.20.010498⟩
- Accès au bibtex
-
- titre
- New core-shell hybrid nanoparticles for biophotonics: fluorescent organic nanocrystals confined in organosilicate spheres
- auteur
- Cécile Philippot, Fabien Dubois, Mathieu Maurin, Bruno Boury, Alain Prat, Alain Ibanez
- article
- Journal of Materials Chemistry, 2012, 22, pp.11370-11378. ⟨10.1039/C2JM30875D⟩
- Accès au bibtex
-
- titre
- Hydrogen sorption properties of compounds based on BCC Ti1-xV1-yCr1+x+y
- auteur
- Salvatore Miraglia, Patricia de Rango, Sophie Rivoirard, Daniel Fruchart, Jean Charbonnier, Nataliya Skryabina
- article
- Journal of Alloys and Compounds, 2012, 536, pp.1-6. ⟨10.1016/j.jallcom.2012.05.008⟩
- Accès au bibtex
-
- titre
- Ultrafast Room Temperature Single-Photon Source from Nanowire-Quantum Dots
- auteur
- Samir Bounouar, Miryam Elouneg-Jamroz, Martien den Hertog, C. Morchutt, E. Bellet-Amalric, Régis André, Catherine Bougerol, Yann Genuist, Jean-Philippe Poizat, Serge Tatarenko, Kuntheak Kheng
- article
- Nano Letters, 2012, 12 (6), pp.2977. ⟨10.1021/nl300733f⟩
- Accès au bibtex
-
- titre
- High magnetic field study of the Gd-Co exchange interactions in GdCo12B6
- auteur
- Olivier Isnard, Y. Skourski, Leopold Diop, Zdenek Arnold, A.V. Andreev, J. Wosnitza, A. Iwasa, A. Kondo, A. Matsuo, K. Kindo
- article
- Journal of Applied Physics, 2012, 111 (9), pp.093916. ⟨10.1063/1.4710995⟩
- Accès au bibtex
-
- titre
- Highly sensitive thermal conductivity measurements of suspended membranes (SiN and diamond) using a 3omega-Völklein method
- auteur
- Olivier Bourgeois, Aurélien Sikora, Hossein Ftouni, Jacques Richard, Christian Hebert, D. Eon, Franck Omnès
- article
- Review of Scientific Instruments, 2012, 83 (5), pp.054902. ⟨10.1063/1.4704086⟩
- Accès au bibtex
-
- titre
- Paramagnetic shift in thermally annealed CdxZn1-xSe quantum dots
- auteur
- E. Margapoti, Catherine Bougerol, K. Brunner, S. Mahapatra
- article
- New Journal of Physics, 2012, 14, pp.043038. ⟨10.1088/1367-2630/14/4/043038⟩
- Accès au bibtex
-
- titre
- Structural recovery of ion implanted ZnO nanowires
- auteur
- Guillaume Perillat-Merceroz, Fabrice Donatini, Robin Thierry, Pierre-Henri Jouneau, Pierre Ferret, Guy Feuillet
- article
- Journal of Applied Physics, 2012, 111 (8), pp.083524. ⟨10.1063/1.4704697⟩
- Accès au texte intégral et bibtex
-
- titre
- Large scale magnesium hydride tank coupled with an external heat source
- auteur
- Baptiste Delhomme, Patricia de Rango, Philippe Marty, Maria Bacia, Bartosz Zawilski, Cécile Raufast, Salvatore Miraglia, Daniel Fruchart
- article
- International Journal of Hydrogen Energy, 2012, 37, pp.9103-9111. ⟨10.1016/j.ijhydene.2012.03.018⟩
- Accès au bibtex
-
- titre
- Magnetic and transport properties of CePt3Ge Kondo lattice in crystalline and sub-micron state
- auteur
- J.P. Vejpravova, J. Prokleška, J. Pospisil, H. Kitazawa, A.P. Gonçalves, T. Komatsubara, Clemens Ritter, Olivier Isnard, V. Sechovský
- article
- Journal of Alloys and Compounds, 2012, 520, pp.22-29. ⟨10.1016/j.jallcom.2011.11.086⟩
- Accès au bibtex
-
- titre
- Plasmon enhanced near-field radiative heat transfer for graphene covered dielectrics
- auteur
- V.B. Stetovoy, Pieter van Zwol, Joël Chevrier
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85, pp.155418. ⟨10.1103/PhysRevB.85.155418⟩
- Accès au bibtex
-
- titre
- Synthesis, structural and magnetic characterization of nanocrystalline CuFe2O4 as obtained by a combined method reactive milling, heat treatment and ball milling
- auteur
- Traian Marinca, Ionel Chicinas, Olivier Isnard
- article
- Ceramics International, 2012, 38, pp.1951-1957. ⟨10.1016/j.ceramint.2011.10.026⟩
- Accès au bibtex
-
- titre
- Revision of the NaBO2–H2O phase diagram for optimized yield in the H2 generation through NaBH4 hydrolysis
- auteur
- J. Andrieux, Laetitia Laversenne, Olesia Krol, Rodica Chiriac, Zeinab Bouajila, Richard Tenu, Jean Jacques Counioux, Christelle Goutaudier
- article
- International Journal of Hydrogen Energy, 2012, 37 (7), pp.5798 - 5810. ⟨10.1016/j.ijhydene.2011.12.106⟩
- Accès au texte intégral et bibtex
-
- titre
- In situ etching-back processes for a sharper top interface in boron delta-doped diamond structures
- auteur
- Alexandre Fiori, Thu Nhi Tran Thi, Gauthier Chicot, François Jomard, Franck Omnès, Etienne Gheeraert, Etienne Bustarret
- article
- Diamond and Related Materials, 2012, 24, pp.175-178. ⟨10.1016/j.diamond.2012.01.018⟩
- Accès au bibtex
-
- titre
- Emissivity measurements with an atomic force microscope
- auteur
- Pieter van Zwol, Laurent Ranno, Joël Chevrier
- article
- Journal of Applied Physics, 2012, 111, pp.063110. ⟨10.1063/1.3697673⟩
- Accès au bibtex
-
- titre
- Resonant Optical Pumping, Read-out and Dynamics of a Mn Spin in a Quantum Dot
- auteur
- C. Le Gall, R. Kolodka, H. Boukari, H. Mariette, L. Besombes
- article
- AIP Conference Proceedings, 2012, 1399 (1), pp.737. ⟨10.1063/1.3666588⟩
- Accès au bibtex
-
- titre
- Vertical stacking of CdTe∕ZnTe quantum dots formed by a fast tellurium induced process
- auteur
- H. Boukari, P. Wojnar, C. Bougerol, L. Besombes, E. Bellet-Amalric, H. Mariette
- article
- AIP Conference Proceedings, 2012, pp.215-216. ⟨10.1063/1.3666331⟩
- Accès au bibtex
-
- titre
- Magnetic and structural properties of the Fe layers in CoO/Fe/Ag(001) Heterostructure
- auteur
- Rantej Bali, Marcio Soares, Aline Y. Ramos, Hélio Tolentino, Fikret Yildiz, Clémence Boudot, Olivier Proux, Maurizio de Santis, Marek Przybylski, Jürgen Kirschner
- article
- Applied Physics Letters, 2012, 100 (13), pp.132403. ⟨10.1063/1.3695158⟩
- Accès au texte intégral et bibtex
-
- titre
- Interface-driven phase separation in multifunctional materials: the case of GeMn ferromagnetic semiconductor
- auteur
- Emmanuel Arras, Frédéric Lançon, Ivetta Slipukhina, Éric Prestat, Mauro Rovezzi, Samuel Tardif, Andrey Titov, Pascale Bayle-Guillemaud, Francesco d'Acapito, André Barski, Vincent Favre-Nicolin, Matthieu Jamet, Joel Cibert, Pascal Pochet
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85 (11), pp.115204. ⟨10.1103/PhysRevB.85.115204⟩
- Accès au texte intégral et bibtex
-
- titre
- Growth mechanism and properties of InGaN insertions in GaN nanowires
- auteur
- Gabriel Tourbot, Catherine Bougerol, Frank Glas, Luiz Fernando Zagonel, Z. Mahfoud, S. Meuret, P. Gilet, Mathieu Kociak, Bruno Gayral, Bruno Daudin
- article
- Nanotechnology, 2012, 23, pp.135703. ⟨10.1088/0957-4484/23/13/135703⟩
- Accès au bibtex
-
- titre
- Anion and Cation Order in Iodide-Bearing Mg/Zn-Al Layered Double Hydroxides
- auteur
- Laure Aimoz, Christine Taviot-Gueho, Sergey V. Churakov, Marina Chukalina, Rainer Dähn, Enzo Curti, Pierre Bordet, Marika Vespa
- article
- Journal of Physical Chemistry C, 2012, 116 (9), pp.5460-5475. ⟨10.1021/jp2119636⟩
- Accès au bibtex
-
- titre
- Anomalous dependence of c-axis polarized Fe B1g phonon mode with Fe and Se concentrations in Fe1+yTe1−xSex
- auteur
- Pierre Toulemonde, A. Subedi, Y.J. Um, A.Y. Ganin, L. Boeri, M. Rahlenbeck, Yehan Liu, C. T. Lin, S. J. E. Carlsson, André Sulpice, M.J. Rosseinsky, B. Keimer, Mathieu Le Tacon
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85, pp.064519. ⟨10.1103/PhysRevB.85.064519⟩
- Accès au texte intégral et bibtex
-
- titre
- Monitoring stimulated emission at the single-photon level in one-dimensional atoms
- auteur
- Daniel Valente, Stefano Portolan, Gilles Nogues, Jean-Philippe Poizat, Maxime Richard, Jean-Michel Gérard, Marcelo F. Santos, Alexia Auffèves
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2012, 85, pp.023811. ⟨10.1103/PhysRevA.85.023811⟩
- Accès au texte intégral et bibtex
-
- titre
- Boron incorporation issues in diamond when TMB is used as extreme doping levels
- auteur
- Pierre-Nicolas Volpe, Jean-Charles Arnault, Nicolas Tranchant, Gauthier Chicot, Julien Pernot, François Jomard, Philippe Bergonzo
- article
- Diamond and Related Materials, 2012, 22, pp.136-141. ⟨10.1016/j.diamond.2011.12.019⟩
- Accès au bibtex
-
- titre
- Transport Inefficiency in Branched-Out Mesoscopic Networks: An Analog of the Braess Paradox
- auteur
- M. G. Pala, S. Baltazar, Peng Liu, Hermann Sellier, B. Hackens, F. Martins, Vincent Bayot, X. Wallart, L. Desplanque, Serge Huant
- article
- Physical Review Letters, 2012, 108, pp.076802. ⟨10.1103/PHYSREVLETT.108.076802⟩
- Accès au texte intégral et bibtex
-
- titre
- Storage and retrieval of a microwave field in a spin ensemble
- auteur
- Y. Kubo, Igor Diniz, A. Dewes, V. Jacques, A. Dreau, J.-F. Roch, Alexia Auffèves, Denis Vion, Daniel Esteve, Patrice Bertet
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2012, 85 (1), pp.012333. ⟨10.1103/PhysRevA.85.012333⟩
- Accès au bibtex
-
- titre
- Exciton-phonon coupling efficiency in CdSe quantum dots embedded in ZnSe nanowires
- auteur
- Samir Bounouar, C. Morchutt, Miryam Elouneg-Jamroz, Lucien Besombes, Régis André, E. Bellet-Amalric, Catherine Bougerol, Martien den Hertog, Kuntheak Kheng, Serge Tatarenko, Jean-Philippe Poizat
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85, pp.035428. ⟨10.1103/PhysRevB.85.035428⟩
- Accès au texte intégral et bibtex
-
- titre
- Casimir force measurements in Au-Au and Au-Si cavities at low temperature
- auteur
- Justine Laurent, Hermann Sellier, Alexis Mosset, Serge Huant, Joël Chevrier
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85, pp.035426. ⟨10.1103/PHYSREVB.85.035426⟩
- Accès au texte intégral et bibtex
-
- titre
- Evolution of a large-periodicity soliton lattice in a current-driven electronic crystal
- auteur
- V.L.R. Jacques, D. Le Bolloc'H, S. Ravy, Jean Dumas, Claire Colin, C. Mazzoli
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85, pp.035113. ⟨10.1103/PhysRevB.85.035113⟩
- Accès au bibtex
-
- titre
- Stability of the local Ni site distortions across pressure-induced metallization in YNiO3
- auteur
- Aline Y. Ramos, Cinthia Piamonteze, Hélio Tolentino, Narcizo M. Souza-Neto, Oana Bunau, Yves Joly, Stéphane Grenier, Jean-Paul Itié, Nestor E. Massa, Jose Antonio Alonso, Maria Jesus Martinez-Lope
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85 (4), pp.045102. ⟨10.1103/PhysRevB.85.045102⟩
- Accès au texte intégral et bibtex
-
- titre
- In situ X-ray Absorption Spectroscopy Study of Si(1-x)GexO2 Dissolution and Germanium Speciation under Hydrothermal Conditions
- auteur
- Vincent Ranieri, Julien Haines, Olivier Cambon, Claire Levelut, Rozenn Le Parc, Martine Cambon, Jean-Louis Hazemann
- article
- Inorganic Chemistry, 2012, 51, pp.414-419. ⟨10.1021/ic201856p⟩
- Accès au bibtex
-
- titre
- X-ray resonant magnetic reflectivity of stratified magnetic structures: Eigenwave formalism and application to a W/Fe/W trilayer
- auteur
- Marta Elzo, Emmanuelle Jal, Oana Bunau, Stéphane Grenier, Aline Y. Ramos, Hélio Tolentino, Yves Joly, Jean-Marc Tonnerre, Nicolas Jaouen
- article
- Journal of Magnetism and Magnetic Materials, 2012, 324 (2), pp.105-112. ⟨10.1016/j.jmmm.2011.07.019⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical study of GaAs quantum dots embedded into AlGaAs nanowires
- auteur
- V.N. Kats, V. P. Kochereshko, A. V. Platonov, T. V. Chizhova, G.E. Cirlin, A.D. Bouravleuv, Yu B. Samsonenko, I.P. Soshnikov, E. V. Ubyivovk, J. Bleuse, H. Mariette
- article
- Semiconductor Science and Technology, 2012, 27 (1), pp.015009. ⟨10.1088/0268-1242/27/1/015009⟩
- Accès au bibtex
-
- titre
- Role of deep and shallow donor levels on n-type conductivity of hydrothermal ZnO
- auteur
- Stéphane Brochen, Carole Granier, Guy Feuillet, Julien Pernot
- article
- Applied Physics Letters, 2012, 100 (5), pp.052115. ⟨10.1063/1.3681168⟩
- Accès au bibtex
-
- titre
- Near‐field photoluminescence spectroscopy of CdTe/Cd 0.75 Mn 0.25 Te tilted superlattices
- auteur
- Yukihiro Harada, Takashi Kita, Kazunari Matsuda, Yoshihiko Kanemitsu, H. Mariette
- article
- physica status solidi (c), 2012, 9 (2), pp.262-265. ⟨10.1002/pssc.201100278⟩
- Accès au bibtex
-
- titre
- A new transport phenomenon in nanostructures: A mesoscopic analog of the Braess paradox encountered in road networks
- auteur
- Marco Pala, Hermann Sellier, Benoit Hackens, Frederico Martins, Vincent Bayot, Serge Huant
- article
- Nanoscale Research Letters, 2012, 7, pp.472. ⟨10.1186/1556-276X-7-472⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum plasmonics: second-order coherence of surface plasmons launched by quantum emitters into a metallic film
- auteur
- Oriane Mollet, Serge Huant, Géraldine Dantelle, T. Gacoin, Aurélien Drezet
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86, pp.045401. ⟨10.1103/PhysRevB.86.045401⟩
- Accès au bibtex
-
- titre
- Imaging and Analysis by Transmission Electron Microscopy of the Spontaneous Formation of Al-Rich Shell Structure in AlxGa1-xN/GaN nanowires
- auteur
- R. Fath Allah, T. Ben, Rudeesun Songmuang, D. González
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2012, 5 (4), pp.045002. ⟨10.1143/APEX.5.045002⟩
- Accès au bibtex
-
- titre
- The influence of high hydrostatic pressure on bacterial dissimilatory iron reduction
- auteur
- Aude Picard, Denis Testemale, Jean-Louis Hazemann, Isabelle Daniel
- article
- Geochimica et Cosmochimica Acta, 2012, 88, pp.120-129. ⟨10.1016/j.gca.2012.04.030⟩
- Accès au bibtex
-
- titre
- Influence of Solvent Addition on the Properties of Ionic Liquids
- auteur
- Loan-Phung Le-My, Laure Cointeaux, Pierre Strobel, Jean-Claude Leprêtre, Patrick Judeinstein, Fannie Alloin
- article
- Journal of Physical Chemistry C, 2012, 116 (14), pp.7712-7718. ⟨10.1021/jp301322x⟩
- Accès au bibtex
-
- titre
- Scanning plasmonic microscopy by image reconstruction from the Fourier space
- auteur
- Oriane Mollet, Serge Huant, Aurélien Drezet
- article
- Optics Express, 2012, 20 (27), pp.28923-28928. ⟨10.1364/OE.20.028923⟩
- Accès au bibtex
-
- titre
- Energetic and spectral properties of triple photon downconversion in a phase-matched KTiOPO4 crystal
- auteur
- Audrey Dot, Adrien Borne, Benoit Boulanger, Patricia Segonds, Corinne Felix, Kamel Bencheikh, Juan Ariel Levenson
- article
- Optics Letters, 2012, 37 (12), pp.2334-2336. ⟨10.1364/OL.37.002334⟩
- Accès au bibtex
-
- titre
- Should the Wave-Function be a Part of the Quantum Ontological State?
- auteur
- Aurelien Drezet
- article
- Progress in Physics, 2012, 4, pp.14
- Accès au bibtex
-
- titre
- High- field magnetospectroscopy to probe the 1.4-eV Ni color center in diamond
- auteur
- Paulina Plochocka, Oliver Portugall, P. Y. Solane, Etienne Gheeraert, Laurent Ranno, Etienne Bustarret, Nicolas Bruyant, I. Breslavetz, Duncan Kennedy Maude, H. Kanda, Rikken G.L.J.A.
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86 (4), pp.045203. ⟨10.1103/PhysRevB.86.045203⟩
- Accès au bibtex
-
- titre
- XAFS evidence for amorphous zinc sulfide as a major zinc species in suspended matter from the Seine River downstream of Paris, Ile-de-France, France
- auteur
- C. Priadi, P. Le Pape, G. Morin, Sophie Ayrault, F. Maillot, F. Juillot, R. Hochreutener, I. Llorens, Denis Testemale, O. Proux, Jr-G.E. Brown
- article
- Environmental Science and Technology, 2012, 46 (7), pp.3712-3720. ⟨10.1021/es2041652⟩
- Accès au bibtex
-
- titre
- Locating an individual quantum hall island inside a quantum ring
- auteur
- F. Martins, S. Faniel, M.G. Pala, Hermann Sellier, Serge Huant, L. Desplanque, X. Wallart, V. Bayot, B. Hackens
- article
- AIP Conference Proceedings, 2012, ISBN 978-0-7354-1194-4, 1566, pp.299-300. ⟨10.1063/1.4848404⟩
- Accès au bibtex
-
- titre
- Atomistic simulations of the optical absorption of type II CdSe/ZnTe superlattices
- auteur
- Soline Richard, Cédric Robert Robert, Lionel Gérard, Jan-Peter Richters, Régis André, Joël Bleuse, Henri Mariette, Jacky Even, Jean-Marc Jancu
- article
- Nanoscale Research Letters, 2012, International Conference on Superlattices, Nanostructures, and Nanodevices (ICSNN 2012), 7, pp.543. ⟨10.1186/1556-276X-7-543⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrolyte based on fluorinated cyclic quaternary ammonium ionic liquids
- auteur
- Loan-Phung Le-My, Fannie Alloin, Pierre Strobel, Jean-Claude Leprêtre, Laure Cointeaux, Carlos Perez del Valle
- article
- Ionics, 2012, 18, pp.817. ⟨10.1007/s11581-012-0688-x⟩
- Accès au bibtex
-
- titre
- Diamond nanophotonics
- auteur
- Katja Beha, Helmut Fedder, Marco Wolfer, Merle C. Becker, Petr Siyushev, Mohammad Jamali, Anton Batalov, Christopher Hinz, Jakob Hees, Lutz Kirste, Harald Obloh, Etienne Gheeraert, Boris Naydenov, Ingmar Jakobi, Florian Dolde, Sebastien Pezzagna, Daniel Twittchen, Matthew Markham, Daniel Dregely, Harald Giessen, Jan Meijer, Fedor Jelezko, C. E. Nebel, Rudolf Bratschitsch, Alfred Leitenstorfer, Joerg Wrachtrup
- article
- Beilstein Journal of Nanotechnology, 2012, 2, pp.895. ⟨10.3762/bjnano.3.100⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of monovalent ions on density fluctuations in hydrothermal aqueous solutions by small angle X-ray scattering
- auteur
- Cécile da Silva-Cadoux, Jean-Louis Hazemann, Denis Testemale, Olivier Proux, Cyrille Rochas
- article
- Journal of Chemical Physics, 2012, 136 (4), pp.044515. ⟨10.1063/1.3679021⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum theory analysis of triple photons generated by a X(3) process
- auteur
- Audrey Dot, Adrien Borne, Benoit Boulanger, Kamel Bencheikh, Juan Ariel Levenson
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2012, 85 (4), pp.023809. ⟨10.1103/PhysRevA.85.023809⟩
- Accès au bibtex
-
- titre
- Nanoscale radiative heat flow due to surface plasmons in graphene and doped silicon
- auteur
- Pieter van Zwol, Stefan Thiele, Claire Berger, W. A. de Heer, Joel Chevrier
- article
- Physical Review Letters, 2012, 109 (26), pp.264301. ⟨10.1103/PhysRevLett.109.264301⟩
- Accès au bibtex
-
- titre
- Magnetic behaviour of the MTbF6 fluoroterbates (M=Cd, Ca, Sr, (α/β)-Ba)
- auteur
- Michaël Josse, Malika El-Ghozzi, Daniel Avignant, Gilles André, Françoise Bourée, Olivier Isnard
- article
- Journal of Solid State Chemistry, 2012, 185, pp.229-237. ⟨10.1016/j.jssc.2011.10.030⟩
- Accès au bibtex
-
- titre
- Titanyl Iodate - A Promising Material for Infrared Nonlinear Optics Showing Structural Similarities with KTP
- auteur
- Isabelle Gautier-Luneau, Yan Suffren
- article
- European Journal of Inorganic Chemistry, 2012, pp.4264-4267. ⟨10.1002/ejic.201200792⟩
- Accès au bibtex
-
- titre
- A flux monitoring method for easy and accurate flow rate measurement in pressure-driven flows
- auteur
- Alessandro Siria, Anne-Laure Biance, Christophe Ybert, Lydéric Bocquet
- article
- Lab on a Chip, 2012, 12 (5), pp.872-875. ⟨10.1039/c1lc20480g⟩
- Accès au bibtex
-
- titre
- Numerical study of a magnesium hydride tank
- auteur
- Baptiste Delhomme, Patricia de Rango, Philippe Marty
- article
- Journal of Physics: Conference Series, 2012, 395, pp.012049. ⟨10.1088/1742-6596/395/1/012049⟩
- Accès au bibtex
-
- titre
- From Strong to Weak Coupling Regime in a Single GaN Microwire up to Room Temperature
- auteur
- Aurélien Trichet, François Médard, J. Zuniga-Perez, B. Alloing, Maxime Richard
- article
- New Journal of Physics, 2012, 14 (7), pp.073004. ⟨10.1088/1367-2630/14/7/073004⟩
- Accès au bibtex
-
- titre
- Magnetic and magnetocaloric properties of the high-temperature modification of TbTiGe
- auteur
- Sophie Tencé, Etienne Gaudin, Olivier Isnard, Bernard Chevalier
- article
- Journal of Physics: Condensed Matter, 2012, 24 (29), pp.296002. ⟨10.1088/0953-8984/24/29/296002⟩
- Accès au texte intégral et bibtex
-
- titre
- Responsivity and photocurrent dynamics in single GaN nanowires
- auteur
- F. González-Posada, R. Songmuang, M. den Hertog, E. Monroy
- article
- physica status solidi (c), 2012, 9 (3-4), pp.642-645. ⟨10.1002/pssc.201100382⟩
- Accès au bibtex
-
- titre
- In situ investigations of a Li-rich Mn-Ni layered oxide for Li-ion batteries
- auteur
- Loïc Simonin, Jean-François Colin, Vincent Ranieri, Emmanuel Canevet, Jean-Frederic Martin, Carole Bourbon, Carsten Baehtz, Pierre Strobel, Lise Daniel, Sébastien S. Patoux
- article
- Journal of Materials Chemistry, 2012, 22, pp.11316. ⟨10.1039/c2jm31205k⟩
- Accès au bibtex
-
- titre
- A local optical probe for measuring motion and stress in a nanoelectromechanical system
- auteur
- Antoine Reserbat-Plantey, Laëtitia Marty, Olivier Arcizet, Nedjma Bendiab, Vincent Bouchiat
- article
- Nature Nanotechnology, 2012, 7, pp.151. ⟨10.1038/nnano.2011.250⟩
- Accès au bibtex
-
- titre
- Effect of Fe doping on properties of Pr 0.67 Ba 0.33 Mn 1-x Fe x O 3 perovskites
- auteur
- M. Baazaoui, M. Boudard, S. Zemni, V. Nassif, F. Gay, M. Oumezzine
- article
- EPJ Web of Conferences, 2012, 29, pp.00004. ⟨10.1051/epjconf/20122900004⟩
- Accès au bibtex
-
- titre
- Correlated Structural, Electronic, and Optical Properties of AlN/GaN Multiple Quantum Disks in GaN nanowires
- auteur
- A. M. Fischer, K.W. Sun, F. Ponce, Rudeesun Songmuang, E. Monroy
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2012, 5 (2), pp.025001. ⟨10.1143/APEX.5.025001⟩
- Accès au bibtex
-
- titre
- Structural, magnetic and magnetocaloric properties of Pr0.6-xErxSr0.4MnO3 (x=0.0, 0.1 and 0.2)
- auteur
- Rafik M'Nassri, W. Cheikhrouhou-Koubaa, Nassira Boudjada, A. Cheikhrouhou
- article
- EPJ Web of Conferences, 2012, 29, pp.00051. ⟨10.1051/epjconf/20122900051⟩
- Accès au bibtex
-
- titre
- Coupling of native IEF and extended X-ray absorption fine structure to characterize zinc-binding sites from pI isoforms of SOD1 and A4V pathogenic mutant
- auteur
- S. Chevreux, I. Llorens, P. Lorenzo Solari, S. Roudeau, Guillaume Devès, A. Carmona, Denis Testemale, Jean-Louis Hazemann, R. Ortega
- article
- Electrophoresis, 2012, 33, pp.1276-1281. ⟨10.1002/elps.201100596⟩
- Accès au bibtex
-
- titre
- Room-temperature photodetection dynamics of single GaN nanowires
- auteur
- F. González-Posada, R. Songmuang, M. den Hertog, E. Monroy
- article
- Nano Letters, 2012, 12 (1), pp.172-176. ⟨10.1021/nl2032684⟩
- Accès au bibtex
-
- titre
- A perfect plasmonic quarter-wave plate
- auteur
- Yuri Gorodetski, Emmanuel Lombard, Aurelien Drezet, Cyriaque Genet, Thomas Ebbesen
- article
- Applied Physics Letters, 2012, 101 (20), pp.201103. ⟨10.1063/1.4766189⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence of Correlation between Catalyst Particles and the Single-Wall Carbon Nanotube Diameter: A First Step towards Chirality Control
- auteur
- M-F.C. Fiawoo, Anne-Marie Bonnot, H. Amara, C. Bichara, J. Thibault-Penisson, A. Loiseau
- article
- Physical Review Letters, 2012, 108 (19), pp.195503. ⟨10.1103/PhysRevLett.108.195503⟩
- Accès au bibtex
-
- titre
- Effect of HCl on the doping and shape control of silicon nanowires
- auteur
- P. Gentile, A. Solanki, N. Pauc, F. Oehler, B. Salem, G. Rosaz, T. Baron, M. den Hertog, V. Calvo.
- article
- Nanotechnology, 2012, 23 (21), pp.215702. ⟨10.1088/0957-4484/23/21/215702⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterization of YBCO Coated Conductors Under High Magnetic Field at LNCMI
- auteur
- Xavier Chaud, François Debray, L. Ronayette, Eric Mossang, Pierre Brosse-Maron, André-Julien Vialle, Pascal Tixador, Jean-Michel Rey, Thibaud Lecrevisse, C.E. Bruzek
- article
- IEEE Transactions on Applied Superconductivity, 2012, 22 (3), pp.6600704. ⟨10.1109/TASC.2011.2177630⟩
- Accès au bibtex
-
- titre
- Electron crystallography by X. Zou, S. Hovmöller and P. Oleynikov
- auteur
- Holger Klein
- article
- Crystallography Reviews, 2012, 18 (4), pp.301-304. ⟨10.1080/0889311X.2012.713352⟩
- Accès au bibtex
-
- titre
- Can stochastic resonance and coherence resonance describe CDW dynamics in quasi-one dimensional conductors?
- auteur
- Jean Dumas, Jacques Marcus
- article
- Physica B: Condensed Matter, 2012, 407 (11), pp.1750-1752. ⟨10.1016/j.physb.2012.01.022⟩
- Accès au bibtex
-
- titre
- Linking ionic conductivity and piezoelectric resonance in KTiOPO4
- auteur
- Dayalu Anand Ramanatha Theerthan, Bertrand Ménaert, Benoit Boulanger, Mario Maglione
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85 (2), pp.024103. ⟨10.1103/PhysRevB.85.024103⟩
- Accès au texte intégral et bibtex
-
- titre
- Refractive indices, phase-matching directions and third-order nonlinear coefficients of rutile TiO2 from third harmonic generation
- auteur
- Adrien Borne, Patricia Segonds, Benoit Boulanger, Corinne Felix, Jérôme Debray
- article
- Optical Materials Express, 2012, 2 (12), pp.1797-1802. ⟨10.1364/OME.2.001797⟩
- Accès au bibtex
-
- titre
- Phase-matching loci and angular acceptance of non-collinear optical parametric amplification
- auteur
- Benoit Tropheme, Benoit Boulanger, Gabriel Mennerat
- article
- Optics Express, 2012, 20 (24), pp.26176-26183. ⟨10.1364/OE.20.026176⟩
- Accès au bibtex
-
- titre
- Structure of a new ternary compound with high magnesium content, so-called Gd13Ni9Mg78
- auteur
- Samuel Couillaud, Etienne Gaudin, François Weill, Sonia Gomez, Cristina Stan, Damien Planté, Salvatore Miraglia, Jean-Louis Bobet
- article
- Acta Materialia, 2012, 60 (10), pp.4144-4151. ⟨10.1016/j.actamat.2012.04.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Calorimetric investigation of the magnetocaloric effect in Ni45Co5Mn37.5In12.5
- auteur
- François Guillou, Pierre Courtois, Laureline Porcar, Philippe Plaindoux, Daniel Bourgault, Vincent Hardy
- article
- Journal of Physics D: Applied Physics, 2012, 45 (25), pp.255001. ⟨10.1088/0022-3727/45/25/255001⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Highly luminescent silicate nanoparticles obtained by spray-drying process for biophotonics
- auteur
- Fabien Dubois, Josephine Zimmermann, Cécile Philippot, Alain Ibanez
- article
- Photonics Global Conference (PGC 2012), Dec 2012, Singapore, Singapore
- Accès au bibtex
-
- titre
- Complémentarité diffraction électronique et imagerie pour la résolution structurale d’oxydes et d’hydroxydes
- auteur
- Stéphanie Kodjikian
- article
- Réunion du Groupe des Utilisateurs de Microscopie électronique Philips-FEI 2012, Nov 2012, Paris, France
- Accès au bibtex
-
- titre
- Direct synthesis of thin MgH2 films by Reactive Plasma Assisted Physical Vapor Deposition for Hydrogen Storage Applications
- auteur
- L. Laversenne, A. Bès, A. Lacoste, S. Miraglia
- article
- 2012 Material Research Society Fall Meeting & Exhibit, Nov 2012, Boston, United States
- Accès au bibtex
-
- titre
- UMo/Al nuclear fuel plate behavior under thermal treatment (425-550°C)
- auteur
- H. Palancher, A. Bonnin, Claire Colin, Vivian Nassif, V. Honkimäki, R. Jungwirth, C. Ritter, G. Champion, Y. Calzavara
- article
- EPDIC13, Oct 2012, Grenoble, France. pp.S371
- Accès au bibtex
-
- titre
- Haptic localization and shape recognition of Nano Objects
- auteur
- Antoine Niguès, Antoine Venant, Mario Rodrigues, Fabio Comin, Jean-Loup Florens, Florence Marchi
- article
- International Conference on Intelligent Robots and Systems, Oct 2012, Vilamoura, Portugal. pp.2319-2324
- Accès au bibtex
-
- titre
- PiezoNEMS: Semiconductor nanowires and heterostructures for sensing and energy harvesting
- auteur
- L. Montès, X. Xu, A. Potie, B. Bercu, R. Hinchet, F. Rochette, G. Ardila, P. Morfouli, M. Mouis, R. Songmuang, B. Salem, T. Baron
- article
- 2012 International Semiconductor Conference Dresden-Grenoble (ISCDG) - formerly known as the Semiconductor Conference Dresden (SCD), Sep 2012, Grenoble, France. pp.69-74, ⟨10.1109/ISCDG.2012.6359987⟩
- Accès au bibtex
-
- titre
- Diamond Electronic Devices
- auteur
- Etienne Gheeraert, Aboulaye Traoré, Julien Pernot, Gauthier Chicot, Alexandre Fiori, Franck Omnès, David Eon, Etienne Bustarret
- article
- International Union of Materials Research Societies - International Conference on Electronic Materials, Sep 2012, Yokohama, Japan
- Accès au bibtex
-
- titre
- Molecular nanocrystals confined in silicate nanoparticles: a new family of ultrabright fluorescent tracers for biophotonics.
- auteur
- Josephine Zimmermann, Fabien Dubois, Alain Ibanez
- article
- Third International Workshop on Advanced Nano- and Biomaterials and Their Device Applications, Sep 2012, Timisoara, Romania
- Accès au bibtex
-
- titre
- Molecular nanocrystals confined in silicate nanoparticles for medical imaging.
- auteur
- Josephine Zimmermann, Fabien Dubois, Alain Ibanez
- article
- 7th Intern. Conf. Advanced Mater., (ROCAM 2012), Aug 2012, Brasov, Romania
- Accès au bibtex
-
- titre
- Tight binding simulation of type-II superlattice absorption
- auteur
- Soline Richard, Cédric Robert Robert, Lionel Gérard, Jan-Peter Richters, Régis André, Joël Bleuse, Henri Mariette, Jacky Even, Jean-Marc Jancu
- article
- International Conference on Superlattices, Nanostructures, and Nanodevices (ICSNN 2012), Jul 2012, Dresden, Germany
- Accès au bibtex
-
- titre
- Recent progress of diamond device toward power application
- auteur
- Julien Pernot, Gauthier Chicot, Alexandre Fiori, Aboulaye Traoré, Thu Nhi Tran Thi, Pierre-Nicolas Volpe, David Eon, Franck Omnès, Etienne Bustarret, Etienne Gheeraert, Pierre Muret
- article
- EXMATEC 2012 : 11th Expert Evaluation and Control of Compound Semicon- ductor Materials and Technologies conference, Porquerolles Islands, France., May 2012, Porquerolles, France
- Accès au texte intégral et bibtex
-
- titre
- Active control of near-field heat transfer
- auteur
- Philippe Ben-Abdallah, Svend-Age Biehs, Felipe S. S. Rosa, Karl Joulain, Pieter van Zwol, Joël Chevrier
- article
- Meta12, Apr 2012, xx, France
- Accès au bibtex
-
- titre
- Etude de l’influence potentielle de l’introduction de Miscanthus sur la spéciation du zinc dans des sols pollués
- auteur
- Farid Juillot, Guillaume Morin, Folkert F. van Oort, Olivier Proux, Jean-Louis Hazemann, Gordon Brown, Isabelle Lamy
- article
- 11èmes Journées d’Etude des Sols, Mar 2012, Versailles, France
- Accès au bibtex
-
- titre
- Fluorescent molecular nanocrystals grown in sol-gel matrices for optics and biophotonics.
- auteur
- Cécile Philippot, Josephine Zimmermann, Fabien Dubois, Alain Ibanez
- article
- 3ra Conferencia Internacional de Espectroscopía, Feb 2012, Lima, Peru
- Accès au bibtex
-
- titre
- χ(3) Third Harmonic Generation and Triple Photon Generation
- auteur
- Benoit Boulanger, Audrey Dot, Adrien Borne, Patricia Segonds, Kamel Bencheikh, Juan Ariel Levenson, Simon Richard
- article
- Photonics West, San Francisco, 21 - 26 January 2012., Jan 2012, San Francisco, United States
- Accès au bibtex
-
- titre
- Electrical properties of boron doped diamond for power device applications
- auteur
- Julien Pernot
- article
- Waseda University Global COE Program seminars, Tokyo, Japan., Jan 2012, Japan
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Magnetic Texturing of High-Tc Superconductors
- auteur
- Laureline Porcar, Patricia de Rango, Daniel Bourgault, Robert Tournier
- article
- Superconductors - Materials, Properties and Applications, InTech, pp.171, 2012, ⟨10.5772/48698⟩
- Accès au bibtex
-
Ouvrages
- titre
- Hautes Pressions : les Nouveaux Enjeux
- auteur
- Yann Le Godec, Camille Loupiac, Alain Prat, Stéphane Mottin
- article
- Mottin, Stéphane and Lelièvre, Gérard. MRCT-CNRS, 23, pp.256, 2012, Intégrations [des savoirs et des savoir-faire], Mottin, Stéphane, 978-2918701101
- Accès au bibtex
-
Thèse
- titre
- Diamant nanostructures fabrication par gravure et de croissance avec des nanoparticules métalliques
- auteur
- Hasan-Al Mehedi
- article
- Physique [physics]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENT107⟩
- Accès au texte intégral et bibtex
-
- titre
- Propriétés électriques du ZnO monocristallin
- auteur
- Stéphane Brochen
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI079⟩
- Accès au texte intégral et bibtex
-
- titre
- Combinaison de faisceaux mutuellement incohérents par amplification paramétrique optique
- auteur
- Benoit Tropheme
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY094⟩
- Accès au texte intégral et bibtex
-
- titre
- Matrices de microélectrodes tout diamant et composite diamant / nanotubes de carbone pour la neurophysiologie : du matériau aux composants d'interface
- auteur
- Clément Hébert
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI053⟩
- Accès au texte intégral et bibtex
-
- titre
- New generations of boron-doped diamond structures by delta-doping technique for power electronics : CVD growth and characterization
- auteur
- Alexandre Fiori
- article
- Electronics. Université de Grenoble, 2012. English. ⟨NNT : 2012GRENI018⟩
- Accès au texte intégral et bibtex
-
- titre
- Une boite quantique dans un fil photonique : spectroscopie et optomécanique
- auteur
- Inah Yeo
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY076⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrodynamique quantique des atomes artificiels supraconducteurs
- auteur
- Igor Diniz
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY048⟩
- Accès au texte intégral et bibtex
-
- titre
- Sondes actives en champ proche pour la plasmonique et la plasmonique quantique
- auteur
- Oriane Mollet
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY057⟩
- Accès au texte intégral et bibtex
-
- titre
- Optique quantique avec des atomes artificiels semiconducteurs
- auteur
- Daniel Valente
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY046⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude théorique et expérimentale des propriétés magnétiques des oxydes de métaux de transition quasi-bidimensionnels du type AB2O6
- auteur
- Edgar Gonzaga Souza dos Santos
- article
- Autre [cond-mat.other]. Université de Grenoble; Universidade Federal do Rio Grande do Sul (Porto Alegre, Brésil), 2012. Français. ⟨NNT : 2012GRENY047⟩
- Accès au texte intégral et bibtex
-
- titre
- Manipulation dans le micro/nanomonde : dispositif haptique préhensile
- auteur
- Antoine Nigues
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY041⟩
- Accès au texte intégral et bibtex
-
- titre
- Couplage d'un réservoir d'hydrure de magnésium avec une source externe de chaleur
- auteur
- Baptiste Delhomme
- article
- Autre [cond-mat.other]. Université de Grenoble; UNIVERSITA DEGLI STUDI DI TORINO, 2012. Français. ⟨NNT : 2012GRENY024⟩
- Accès au texte intégral et bibtex
-
- titre
- Le diamant pour la bioélectronique : de la fonctionnalisation chimique à la modification physique par des nanotubes de carbone
- auteur
- Sébastien Ruffinatto
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI004⟩
- Accès au texte intégral et bibtex
-
- titre
- Polaritons unidimensionnels dans les microfils de Zno : vers la dégénérescence quantique dans les gaz de polaritons unidimensionnels
- auteur
- Aurélien Trichet
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY012⟩
- Accès au texte intégral et bibtex
-
- titre
- Localisation de la lumière dans des rugosités de taille nanométrique de surfaces métalliques traitée par les équations intégrales et les ondelettes
- auteur
- Camille Maxime
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY002⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation de la dynamique de spin d'un atome magnétique individuel dans une boîte quantique
- auteur
- Chong Long Cao
- article
- Autre [cond-mat.other]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENY119⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Multiplicative noise and charge density wave dynamics in Rb0.30MoO3
- auteur
- Jean Dumas, Jacques Marcus
- article
- 2012
- Accès au bibtex
-
- titre
- Broad band noise and stochastic resonance in the CDW conductors K0.30MoO3 and K0.30Mo(1-x)WxO3 (x=0.001 and x=0.002)
- auteur
- Jean Dumas, J. Marcus
- article
- 2012
- Accès au texte intégral et bibtex
-
2011
Article dans une revue
- titre
- Catalyst-free growth of high-optical quality GaN nanowires by metal-organic vapor phase epitaxy
- auteur
- X.J. Chen, Bruno Gayral, Diane Sam-Giao, Catherine Bougerol, Christophe Durand, Joël Eymery
- article
- Applied Physics Letters, 2011, 99, pp.251910. ⟨10.1063/1.3671365⟩
- Accès au bibtex
-
- titre
- Polarity determination in ZnSe nanowires by HAADF STEM
- auteur
- Martien den Hertog, Miryam Elouneg-Jamroz, Edith Bellet-Amalric, Samir Bounouar, Catherine Bougerol, Régis André, Yann Genuist, Jean-Philippe Poizat, Kuntheak Kheng, Serge Tatarenko
- article
- Journal of Physics: Conference Series, 2011, 326, pp.012044. ⟨10.1088/1742-6596/326/1/012044⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum complementarity of cavity photons coupled to a three-level system
- auteur
- R. Vilardi, A. Ridolfo, Stefano Portolan, S. Savasta, O. Di Stefano
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2011, 84 (6), pp.063842. ⟨10.1103/PhysRevA.84.063842⟩
- Accès au bibtex
-
- titre
- Temperature-insensitive optical alignment of the exciton in nanowire-embedded GaN quantum dots
- auteur
- Andrea Balocchi, J. Renard, C.T. Nguyen, B. Gayral, T. Armand, Henri Mariette, B. Daudin, Gabriel Tourbot, Xavier Marie
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84 (23), pp.235310. ⟨10.1103/PhysRevB.84.235310⟩
- Accès au bibtex
-
- titre
- Hidden defects in silicon nanowires
- auteur
- Martien den Hertog, Cyril Cayron, Pascal Gentile, Florian Dhalluin, Fabrice Oehle, Thierry Baron, Jean-Luc Rouviere
- article
- Nanotechnology, 2011, 23, pp.025701. ⟨10.1088/0957-4484/23/2/025701⟩
- Accès au texte intégral et bibtex
-
- titre
- Time-Resolved in situ Synchrotron X-ray Diffraction Studies of Type 1 Silicon Clathrate Formation
- auteur
- Peter T. Hutchins, Olivier Leynaud, Luke A. O'Dell, Mark E. Smith, Paul Barnes, Paul Mcmillan
- article
- Chemistry of Materials, 2011, 23 (23), pp.5160-5167. ⟨10.1021/cm2018136⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarity of GaN nanowires grown by plasma-assisted molecular beam epitaxy on Si(111)
- auteur
- Karine Hestroffer, Cedric Leclere, Catherine Bougerol, Hubert Renevier, B. Daudin
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84 (24), pp.245302. ⟨10.1103/PhysRevB.84.245302⟩
- Accès au bibtex
-
- titre
- Surface effects in a semiconductor photonic nanowire and spectral stability of an embedded single quantum dot
- auteur
- Inah Yeo, Nitin S. Malik, Mathieu Munsch, Emmanuel Dupuy, Joël Bleuse, Yann-Michel Niquet, Jean-Michel Gérard, Julien Claudon, Edouard Wagner, Signe Seidelin, Alexia Auffèves, Jean-Philippe Poizat, Gilles Nogues
- article
- Applied Physics Letters, 2011, 99, pp.233106. ⟨10.1063/1.3665629⟩
- Accès au texte intégral et bibtex
-
- titre
- Strongly coupling a cavity to inhomogeneous ensembles of emitters: Potential for long-lived solid-state quantum memories
- auteur
- Alexia Auffèves, Igor Diniz, Stefano Portolan, Robson Ferreira, Patrice Bertet, Jean-Michel Gérard
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2011, 84 (6), pp.063810. ⟨10.1103/PhysRevA.84.063810⟩
- Accès au bibtex
-
- titre
- The urea combustion method in the preparation of precursors for high-T-C single phase HgBa2Ca2Cu3O8+delta superconductors
- auteur
- Tania M. Mendonca, P. B. Tavares, J.G. Correia, A. M. L. Lopez, Céline Darie, J.P. Araujo
- article
- Physica C: Superconductivity and its Applications, 2011, 471 (23-24), pp.1643. ⟨10.1016/j.physc.2011.09.005⟩
- Accès au bibtex
-
- titre
- Magnetic ordering of anti-Th3P4-type R4X3 and Th3P4-type R3X4 compounds (R = Ce, Pr, Nd, Sm, X = Ge, Sb, Te)
- auteur
- A. V. Morozkin, R. Nirmala, Olivier Isnard, Satish K. Malik, J.L. Yao, Y. Mozharivskyj, S.A. Granovsky
- article
- Intermetallics, 2011, 19, pp.1794-1803. ⟨10.1016/j.intermet.2011.07.019⟩
- Accès au bibtex
-
- titre
- alpha- to beta-[C6H4(NH3)(2)](2)Bi2I10 reversible solid-state transition, thermochromic and optical studies in the p-phenylenediamine-based iodobismuthate(III) material
- auteur
- Chakib Hrizi, Ameni Trigui, Younes Abid, Nassira Chniba-Boudjada, Pierre Bordet, Slaheddine Chaabouni
- article
- Journal of Solid State Chemistry, 2011, 184 (12), pp.3336-3344. ⟨10.1016/j.jssc.2011.10.004⟩
- Accès au bibtex
-
- titre
- Jarosite-butlerite intergrowths in non-stoichiometric jarosites: crystal chemistry of monoclinic natrojarosite-hydroniumjarosite phases
- auteur
- Ian E. Grey, Pierre Bordet, Nicola V.Y. Scarlett, Helen Brand
- article
- Mineralogical Magazine, 2011, 75 (6), pp.2775. ⟨10.1180/minmag.2011.075.6.2775⟩
- Accès au bibtex
-
- titre
- Optical polariton properties in ZnSe-based planar and pillar structured microcavities
- auteur
- K. Sebald, Aurélien Trichet, Maxime Richard, Daniel Le-Si Dang, M. Seyfried, S. Klembt, Carola Kruse, D. Hommel
- article
- The European Physical Journal B: Condensed Matter and Complex Systems, 2011, 84, pp.381. ⟨10.1140/epjb/e2011-20551-9⟩
- Accès au bibtex
-
- titre
- Magnetic order of Mn 5 Si 3 -type Tb 5 Sb 3 and Tb 5 Si 1.5 Sb 1.5
- auteur
- A. V. Morozkin, Olivier Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2011, 323, pp.3189-3197. ⟨10.1016/J.JMMM.2011.07.004⟩
- Accès au bibtex
-
- titre
- Publisher's note : Boron-deuterium complexes in diamond: How inhomogeneity leads to incorrect carrier type identification
- auteur
- Amit Kumar, Julien Pernot, Franck Omnès, Pierre Muret, Aboulaye Traoré, Laurence Magaud, Alain Deneuville, N. Habka, Julien Barjon, François Jomard, Marie-Amandine Pinault, Jacques Chevallier, Christine Mer-Calfati, Jean-Charles Arnault, Philippe Bergonzo
- article
- Journal of Applied Physics, 2011, 110 (11), pp.119905. ⟨10.1063/1.3665435⟩
- Accès au bibtex
-
- titre
- Electronic structure and magnetic properties of Ni1-xMnxAl alloys
- auteur
- Vasile Rednic, Olivier Isnard, Manfred Neumann, L. Rednic, Marin Coldea, N. Aldea
- article
- Journal of Optoelectronics and Advanced Materials, 2011, 13, pp.1519-1523
- Accès au bibtex
-
- titre
- Nanostructured calcite precipitated under hydrothermal conditions in the presence of organic and inorganic selenium
- auteur
- German Montes-Hernandez, Géraldine Sarret, Roland Hellmann, N. Menguy, Denis Testemale, Laurent Charlet, François Renard
- article
- Chemical Geology, 2011, 290 (3-4), pp.109-120. ⟨10.1016/j.chemgeo.2011.09.007⟩
- Accès au bibtex
-
- titre
- Optical properties of amorphous, erbium-doped yttrium alumino-borate thin films
- auteur
- Lauro J. Q. Maia, Jochen Fick, Antonio Carlos Hernandes, Valmor R. Mastelaro, Alain Ibanez
- article
- Optical Materials, 2011, 34, pp.665. ⟨10.1016/j.optmat.2011.09.014⟩
- Accès au bibtex
-
- titre
- Hybrid Quantum Circuit with a Superconducting Qubit Coupled to a Spin Ensemble
- auteur
- Y. Kubo, C. Grezes, A. Dewes, T. Umeda, J. Isoya, H. Sumiya, N. Morishita, H. Abe, S. Onoda, T. Ohshima, V. Jacques, A. Dreau, J.-F. Roch, Igor Diniz, Alexia Auffèves, Denis Vion, Daniel Esteve, Patrice Bertet
- article
- Physical Review Letters, 2011, 107 (22), pp.220501. ⟨10.1103/PhysRevLett.107.220501⟩
- Accès au bibtex
-
- titre
- Towards vertical coupling of CdTe/ZnTe quantum dots formed by a high temperature tellurium induced process
- auteur
- Piotr Wojnar, Catherine Bougerol, Edith Bellet-Amalric, Lucien Besombes, Henri Mariette, Hervé Boukari
- article
- Journal of Crystal Growth, 2011, 335 (1), pp.28-30. ⟨10.1016/j.jcrysgro.2011.09.024⟩
- Accès au bibtex
-
- titre
- Spin-phonon coupling in single Mn-doped CdTe quantum dot
- auteur
- Chong Long Cao, Lucien Besombes, Joaquin Fernandez-Rossier
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84, pp.205305. ⟨10.1103/PhysRevB.84.205305⟩
- Accès au texte intégral et bibtex
-
- titre
- M-Plane Core-Shell InGaN/GaN Multiple-Quantum-Wells on GaN Wires for Electroluminescent Devices
- auteur
- R. Koester, Jun-Seok Hwang, Damien Salomon, X. J. Chen, Catherine Bougerol, J.P Barnes, Daniel Le Si Dang, Lorenzo Rigutti, A.D.L. Bugallo, G. Jacopin, Maria Tchernycheva, C. Durand, Joël Eymery
- article
- Nano Letters, 2011, 11, pp.4839. ⟨10.1021/nl202686n⟩
- Accès au bibtex
-
- titre
- A single NV defect coupled to a nanomechanical oscillator
- auteur
- Olivier Arcizet, Vincent Jacques, Alessandro Siria, Philippe Poncharal, Pascal Vincent, Signe Seidelin
- article
- Nature Physics, 2011, 7, pp.879. ⟨10.1038/NPHYS2070⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic and magnetocaloric properties of Pr0.6-xEuxSr0.4MnO3 manganese oxides
- auteur
- Rafik M'Nassri, W. Cheikhrouhou-Koubaa, M. Koubaa, Nassira Boudjada, A. Cheikhrouhou
- article
- Solid State Communications, 2011, 151 (21), pp.1579-1582. ⟨10.1016/j.ssc.2011.07.027⟩
- Accès au bibtex
-
- titre
- Presence of electromagnetic fluctuations in micromechanics
- auteur
- Joël Chevrier
- article
- Comptes Rendus. Physique, 2011, 12, pp.898. ⟨10.1016/j.crhy.2011.10.014⟩
- Accès au bibtex
-
- titre
- Carrier depletion and exciton diffusion in a single ZnO nanowire
- auteur
- Jun-Seok Hwang, Fabrice Donatini, Julien Pernot, Robin Thierry, Pierre Ferret, Daniel Le Si Dang
- article
- Nanotechnology, 2011, 22 (47), pp.475704. ⟨10.1088/0957-4484/22/47/475704⟩
- Accès au bibtex
-
- titre
- Phonon-Polaritons enhance near field thermal transfer across the phase transition of VO2
- auteur
- Pieter van Zwol, Karl Joulain, Philippe Ben-Abdallah, Joël Chevrier
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84 (16), pp.161413. ⟨10.1103/PhysRevB.84.161413⟩
- Accès au texte intégral et bibtex
-
- titre
- Random alloy-like local structure of Fe(Se, S) 1− x Te x superconductors revealed by extended x-ray absorption fine structure
- auteur
- A. Iadecola, B. Joseph, Alessandro Puri, Laura Simonelli, Y. Mizuguchi, Denis Testemale, Olivier Proux, Jean-Louis Hazemann, Y. Takano, Naurang L. Saini
- article
- Journal of Physics: Condensed Matter, 2011, 23 (42), pp.425701. ⟨10.1088/0953-8984/23/42/425701⟩
- Accès au bibtex
-
- titre
- Bandwidth-driven nature of the pressure-induced metal state of LaMnO3
- auteur
- Aline Y. Ramos, Narcizo M. Souza-Neto, Hélio C. N. Tolentino, Oana Bunau, Yves Joly, Stéphane Grenier, Jean-Paul Itié, Anne-Marie Flank, Pierre Lagarde, Alberto Caneiro
- article
- EPL - Europhysics Letters, 2011, 96 (3), pp.36002. ⟨10.1209/0295-5075/96/36002⟩
- Accès au texte intégral et bibtex
-
- titre
- Strong suppression of internal electric field in GaN/AlGaN multi-layer quantum dots in nanowires
- auteur
- Rudeesun Songmuang, Dipankar Kalita, P. Sinha, Martien den Hertog, Régis André, T. Ben, D. Gonzalez, Henri Mariette, Eva Monroy
- article
- Applied Physics Letters, 2011, 99 (14), pp.141914. ⟨10.1063/1.3646389⟩
- Accès au bibtex
-
- titre
- Large Variation in the Boundary-Condition Slippage for a Rarefied Gas Flowing between Two Surfaces
- auteur
- Justine Laurent, Aurélien Drezet, Hermann Sellier, Joël Chevrier, Serge Huant
- article
- Physical Review Letters, 2011, 107, pp.164501. ⟨10.1103/PHYSREVLETT.107.164501⟩
- Accès au bibtex
-
- titre
- High Sensitivity of Diamond Resonant Microcantilevers for Direct Detection in Liquids As Probed by Molecular Electrostatic Surface Interactions
- auteur
- Alexandre Bongrain, Charles Agnès, Lionel Rousseau, Emmanuel Scorsone, Jean-Charles Arnault, Sébastien Ruffinatto, Franck Omnès, Pascal Mailley, Gaelle Bazin Lissorgues, Philippe Bergonzo
- article
- Langmuir, 2011, 27 (19), pp.12226-12234. ⟨10.1021/la2013649⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of the isoelectronic substitution of Sb for As on the magnetic and structural properties of LaFe(As1−xSbx)O
- auteur
- S.J.E Carlsson, Florence Lévy-Bertrand, C. Marcenat, André Sulpice, Jacques Marcus, Sébastien Pairis, Thierry Klein, Manuel Nunez-Regueiro, G. Garbarino, T. Hansen, Vivian Nassif, Pierre Toulemonde
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84 (10), pp.104523. ⟨10.1103/PhysRevB.84.104523⟩
- Accès au texte intégral et bibtex
-
- titre
- Direct in-depth determination of a complex magnetic configuration in an exchange-coupled bilayer with perpendicular and in-plane anisotropy
- auteur
- Jean-Marc Tonnerre, Marek Przybylski, Mostafa Ragheb, Fikret Yildiz, Helio Tolentino, Luc Ortega, Jürgen Kirschner
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84, pp.100407(R). ⟨10.1103/PhysRevB.84.100407⟩
- Accès au bibtex
-
- titre
- Doped silica nanoparticles containing two-photon luminescent Eu(III) complexes for the development of water stable bio-labels
- auteur
- Cécile Philippot, Adrien Bourdolle, Olivier Maury, F. Dubois, Bruno Boury, Sophie Brustlein, Sophie Brasselet, Chantal Andraud, Alain Ibanez
- article
- Journal of Materials Chemistry, 2011, 21, pp.18613. ⟨10.1039/c1jm13551a⟩
- Accès au bibtex
-
- titre
- Oxygen ordering in the high-T-c superconductor HgBa2CaCu2O6+delta as revealed by perturbed angular correlation
- auteur
- M. Mendoca T., J.G. Correia, H. Haas, Philippe Odier, P. B. Tavares, M.R. da Silva, A.M.L. Lopes, A.M. Pereira, J.N. Goncalves, J.S. Amaral, Céline Darie, J.P. Araujo
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84 (9), pp.094524. ⟨10.1103/PhysRevB.84.094524⟩
- Accès au bibtex
-
- titre
- Conceptual design for a dispersive XAFS beamline in the compact storage ring MIRRORCLE
- auteur
- Niccolo Canestrari, Vincent Roger, Philippe Jeantet, Olivier Leynaud, Luc Ortega, Hironari Yamada, T. Hanashima, Emilio Lorenzo, Manolo Sanchez del Rio
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2011, Advances in computational methods for x-ray optics II, 8141, pp.814116-1. ⟨10.1117/12.895284⟩
- Accès au bibtex
-
- titre
- Observation of interface carrier states in no-common-atom heterostructures ZnSe/BeTe
- auteur
- A. S. Gurevich, V.P. Kochereshko, J. Bleuse, Henri Mariette, A. Waag, R. Akimoto
- article
- Nanotechnology, 2011, 22 (36), pp.365707. ⟨10.1088/0957-4484/22/36/365707⟩
- Accès au bibtex
-
- titre
- Spin-liquid ground state in the frustrated kagome antiferromagnet MgCu 3 (OH) 6 Cl 2
- auteur
- Edwin Kermarrec, Philippe Mendels, Fabrice Bert, R. Colman, A. Wills, P. Strobel, Pierre Bonville, A. Hillier, A. Amato
- article
- Physical Review B, 2011, 84 (10), pp.100401(R). ⟨10.1103/PhysRevB.84.100401⟩
- Accès au texte intégral et bibtex
-
- titre
- Spontaneous Pattern Formation in a Polariton Condensate
- auteur
- F. Manni, K. G. Lagoudakis, T. C. H. Liew, Régis André, Benoit Deveaud-Plédran
- article
- Physical Review Letters, 2011, 107 (10), pp.106401. ⟨10.1103/PhysRevLett.107.106401⟩
- Accès au bibtex
-
- titre
- Effect of hydrogen as interstitial element on the magnetic properties of some iron rich intermetallic compounds
- auteur
- Olivier Isnard, Viorel Pop
- article
- Journal of Alloys and Compounds, 2011, 509, pp.S549-S554. ⟨10.1016/j.jallcom.2011.01.011⟩
- Accès au bibtex
-
- titre
- Ultra-smooth single crystal diamond surfaces resulting from implantation and lift-off processes
- auteur
- Thu Nhi Tran Thi, Bruno Fernandez, David Eon, Etienne Gheeraert, Juergen Haertwig, T. Lafford, A. Perrat-Mabilon, C. Peaucelle, P. Olivero, Etienne Bustarret
- article
- physica status solidi (a), 2011, 208 (9), pp.2057-2061. ⟨10.1002/pssa.201100038⟩
- Accès au bibtex
-
- titre
- Yb:CaF2 grown by liquid phase epitaxy
- auteur
- Alexandra Peña, Patrice Camy, Abdelmjid Benayad, Jean-Louis Doualan, Clément Maurel, Mélinda Olivier, Virginie Nazabal, Richard Moncorgé
- article
- Optical Materials, 2011, 33 (11), pp.1616-1620. ⟨10.1016/j.optmat.2011.04.025⟩
- Accès au bibtex
-
- titre
- Pressure-induced changes in the structural and magnetic properties of YFe2D4.2
- auteur
- Olivier Isnard, V. Paul-Boncour, Z. Arnold, Claire Colin, T. Leblond, J. Kamarad, H. Sugiura
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84, pp.94429. ⟨10.1103/PHYSREVB.84.094429⟩
- Accès au texte intégral et bibtex
-
- titre
- Near-, mesoscopic and far-field regimes of a subwavelength Young's double-slit
- auteur
- Jérôme Le Perchec, Aude Barbara, Pascal Quemerais
- article
- New Journal of Physics, 2011, 13, pp.083025. ⟨10.1088/1367-2630/13/8/083025⟩
- Accès au bibtex
-
- titre
- X-Ray absorption spectroscopy investigation of 1-alkyl-3-methylimidazolium bromide salts
- auteur
- Paola d'Angelo, Andrea Zitolo, Valentina Migliorati, Enrico Bodo, Giuliana Aquilanti, Jean-Louis Hazemann, Denis Testemale, Giordano Mancini, Ruggero Caminiti
- article
- Journal of Chemical Physics, 2011, 135 (7), pp.074505. ⟨10.1063/1.3625920⟩
- Accès au texte intégral et bibtex
-
- titre
- Insertion of CdSe quantum dots in ZnSe nanowires: Correlation of structural and chemical characterization with photoluminescence
- auteur
- Martien den Hertog, Miryam Elouneg-Jamroz, Edith Bellet-Amalric, Samir Bounouar, Catherine Bougerol, Régis André, Yann Genuist, Jean-Philippe Poizat, Kuntheak Kheng, Serge Tatarenko
- article
- Journal of Applied Physics, 2011, 110, pp.034318. ⟨10.1063/1.3618685⟩
- Accès au texte intégral et bibtex
-
- titre
- A new approach for the determination of multiple cation locations and ordering, using the example of natural and heat-treated columbites
- auteur
- E.J. Kinast, Olivier Isnard, J.M.B. da Cunha, M. Vasconcellos, C.A. dos Santos
- article
- Journal of Applied Crystallography, 2011, 44, pp.738-746. ⟨10.1107/S0021889811023211⟩
- Accès au bibtex
-
- titre
- Boron-deuterium complexes in diamond: How inhomogeneity leads to incorrect carrier type identification
- auteur
- Amit Kumar, Julien Pernot, Franck Omnès, Pierre Muret, Aboulaye Traoré, Laurence Magaud, Alain Deneuville, Nada Habka, Julien Barjon, François Jomard, Marie-Amandine Pinault, Jacques Chevallier, Christine Mer-Calfati, Jean-Charles Arnault, Philippe Bergonzo
- article
- Journal of Applied Physics, 2011, 110 (3), pp.033718. ⟨10.1063/1.3611035⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis, structural and magnetic characterization of nanocrystalline nickel ferrite-NiFe2O4 obtained by reactive milling
- auteur
- Traian Marinca, Ionel Chicinas, Olivier Isnard, Viorel Pop, Florin Popa
- article
- Journal of Alloys and Compounds, 2011, 509, pp.7931-7936. ⟨10.1016/j.jallcom.2011.05.040⟩
- Accès au texte intégral et bibtex
-
- titre
- Metal transition doping effect on the structural and physical properties of delafossite-type oxide CuCrO2
- auteur
- F. Jlaiel, Mongi Amami, Nassira Boudjada, Pierre Strobel, A. Ben Salah
- article
- Journal of Alloys and Compounds, 2011, 509 (29), pp.7784-7788. ⟨10.1016/j.jallcom.2011.04.153⟩
- Accès au bibtex
-
- titre
- High pressure in situ diffraction studies of metal-hydrogen systems
- auteur
- V.A. Yartys, R.V. Denys, J.C. Webb, J.P. Maehlen, E.M. Gray, T. Blach, Olivier Isnard, L.C. Barnsley
- article
- Journal of Alloys and Compounds, 2011, 509, pp.S817-S822. ⟨10.1016/j.jallcom.2010.12.030⟩
- Accès au bibtex
-
- titre
- Subnanosecond spectral diffusion of a single quantum dot in a nanowire
- auteur
- Gregory Sallen, Adrien Tribu, Thomas Aichele, Régis André, Lucien Besombes, Catherine Bougerol, Maxime Richard, Serge Tatarenko, Kuntheak Kheng, Jean-Philippe Poizat
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84, pp.041405(R). ⟨10.1103/PhysRevB.84.041405⟩
- Accès au texte intégral et bibtex
-
- titre
- Fluorescent molecular nanocrystals anchored in sol-gel thin films: a label-free signalization function for biosensing applications
- auteur
- Emilie Dubuisson, Sabine Szunerits, Maria Bacia, Robert Bernard Pansu, Alain Ibanez
- article
- New Journal of Chemistry, 2011, 35 (11), pp.2416-2421. ⟨10.1039/C1NJ20353C⟩
- Accès au bibtex
-
- titre
- Magnetic structure of the Mn5Si3-type Er5Si3 compound
- auteur
- A. V. Morozkin, Olivier Isnard, S.A. Granovsky
- article
- Intermetallics, 2011, 19, pp.871-875. ⟨10.1016/j.intermet.2011.02.004⟩
- Accès au bibtex
-
- titre
- Study of boron doping in MPCVD grown homoepitaxial diamond layers based on cathodoluminescence spectroscopy, secondary ion mass spectroscopy and capacitance-voltage measurements
- auteur
- Franck Omnès, Pierre Muret, Pierre-Nicolas Volpe, Mamadou Wade, Julien Pernot, François Jomard
- article
- Diamond and Related Materials, 2011, 20 (7), pp.912-916. ⟨10.1016/j.diamond.2011.05.010⟩
- Accès au bibtex
-
- titre
- Magnetic and thermomagnetic studies of the formation of the Rhometal powders by high energy mechanical milling
- auteur
- I. Chicinas, Olivier Isnard, H. Chiriac, F. Popa, V. Pop, C. V. Prică, B. V. Neamtu, T. F. Marinca
- article
- Journal of Physics: Conference Series, 2011, 303, pp.2087. ⟨10.1088/1742-6596/303/1/012087⟩
- Accès au bibtex
-
- titre
- Negative'' Backaction Noise in Interferometric Detection of a Microlever
- auteur
- Justine Laurent, Alexis Mosset, Olivier Arcizet, Joël Chevrier, Serge Huant, Hermann Sellier
- article
- Physical Review Letters, 2011, 107, pp.050801. ⟨10.1103/PHYSREVLETT.107.050801⟩
- Accès au bibtex
-
- titre
- From Single Particle to Superfluid Excitations in a Dissipative Polariton Gas
- auteur
- V. Kohnle, Y. Léger, M. Wouters, Maxime Richard, M. T. Portella-Oberli, B. Deveaud-Plédran
- article
- Physical Review Letters, 2011, 106 (25), pp.255302. ⟨10.1103/PhysRevLett.106.255302⟩
- Accès au bibtex
-
- titre
- Plasmon mechanism of the trion emission band broadening in quantum wells
- auteur
- A.A. Klochikhin, V.P. Kochereshko, Lucien Besombes, G. Karczewski, T. Wojtowicz, J. Kossut
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.235329. ⟨10.1103/PhysRevB.83.235329⟩
- Accès au texte intégral et bibtex
-
- titre
- A very promising piezoelectric property of Ta2O5 thin films. II: Birefringence and piezoelectricity
- auteur
- Marc Audier, Bernard Chenevier, Herve Roussel, Loïc Vincent, Alexandra Pena, Amélie Lintanf-Salaün
- article
- Journal of Solid State Chemistry, 2011, 184 (8), pp.2033-2040. ⟨10.1016/j.jssc.2011.06.002⟩
- Accès au bibtex
-
- titre
- A very promising piezoelectric property of Ta2O5 thin films. I: Monoclinic-trigonal phase transition
- auteur
- Marc Audier, Bernard Chenevier, Herve Roussel, Loïc Vincent, Alexandra Peña, Amélie Lintanf-Salaün
- article
- Journal of Solid State Chemistry, 2011, 184 (8), pp.2023-2032. ⟨10.1016/j.jssc.2011.06.001⟩
- Accès au bibtex
-
- titre
- Le concept du NanoLearner : Les mains dans le Nanomonde de l'Université vers le grand public
- auteur
- Florence Marchi, Julien Castet, Sylvain Marlière, Joël Chevrier, Annie Luciani, Jean-Loup Florens, Nicolas Castagné
- article
- Journal sur l'enseignement des sciences et technologies de l'information et des systèmes, 2011, 9, pp.0014. ⟨10.1051/j3ea/2010017⟩
- Accès au texte intégral et bibtex
-
- titre
- Photochromic fluorescent diarylethene nanocrystals grown in sol-gel thin films
- auteur
- Nathalie Sanz-Menez, Virginie Monnier, Isabelle Colombier, Patrice L. Baldeck, M. Irie, Alain Ibanez
- article
- Dyes and Pigments, 2011, 89 (3), pp.241-245. ⟨10.1016/j.dyepig.2010.03.017⟩
- Accès au bibtex
-
- titre
- Scanning-Gate Microscopy of Semiconductor Nanostructures: An Overview
- auteur
- F. Martins, B. Hackens, Hermann Sellier, Peng Liu, M. Pala, S. Baltazar, L. Desplanque, X. Wallart, V. Bayot, Serge Huant
- article
- Acta Physica Polonica A, 2011, 119 (5), pp.569-575. ⟨10.12693/APhysPolA.119.569⟩
- Accès au texte intégral et bibtex
-
- titre
- (Lu0.8Ce0.2)2Fe17 single crystal under hydrostatic and 'negative' pressure induced by hydrogenation
- auteur
- E. A. Tereshina, A. V. Andreev, J. Kamarád, Olivier Isnard, K. Watanabe
- article
- Journal of Physics: Condensed Matter, 2011, 23, pp.6004. ⟨10.1088/0953-8984/23/21/216004⟩
- Accès au texte intégral et bibtex
-
- titre
- Modeling magnetotransport in inhomogeneous (Ge,Mn) films
- auteur
- I.-S. Yu, M. Jamet, A. Marty, T. Devillers, A. Barski, C. Beigné, Pascale Bayle-Guillemaud, Joel Cibert
- article
- Journal of Applied Physics, 2011, 109, pp.3906. ⟨10.1063/1.3596575⟩
- Accès au bibtex
-
- titre
- On the imaging of electron transport in semiconductor quantum structures by scanning-gate microscopy: successes and limitations
- auteur
- Hermann Sellier, B. Hackens, M. G. Pala, F. Martins, S. Baltazar, X. Wallart, L. Desplanque, Vincent Bayot, Serge Huant
- article
- Semiconductor Science and Technology, 2011, 26 (6), pp.064008. ⟨10.1088/0268-1242/26/6/064008⟩
- Accès au texte intégral et bibtex
-
- titre
- Electronic Transport in Graphene: Quantum Effects and Role of Local Defects
- auteur
- Guy Trambly de Laissardière, Didier Mayou
- article
- Modern Physics Letters B, 2011, 25 (12-13), pp.1019-1028. ⟨10.1142/S0217984911026747⟩
- Accès au bibtex
-
- titre
- Fast nanoscale heat-flux modulation with phase-change materials
- auteur
- Pieter van Zwol, Karl Joulain, Philippe Ben-Abdallah, Jean-Jacques Greffet, Joël Chevrier
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.201404. ⟨10.1103/PhysRevB.83.201404⟩
- Accès au texte intégral et bibtex
-
- titre
- Leakage radiation microscopy of surface-plasmon launched by a nanodiamond-based tip
- auteur
- Oriane Mollet, Aurelien Cuche, Aurélien Drezet, Serge Huant
- article
- Diamond and Related Materials, 2011, 20, pp.995. ⟨10.1016/j.diamond.2011.05.012⟩
- Accès au bibtex
-
- titre
- Template-growth of periodically domain-structured KTiOPO4
- auteur
- Alexandra Pena, Bertrand Ménaert, Benoit Boulanger, Fredrick Laurell, Carlota Canalias, Valdas Pasiskevicius, Patricia Segonds, Corinne Felix, Jerome Debray, Sébastien Pairis
- article
- Optical Materials Express, 2011, 1 (2), pp.185-191. ⟨10.1364/OME.1.000185⟩
- Accès au texte intégral et bibtex
-
- titre
- Nucleation Control and Rapid Growth of KDP Crystals in Stationary Conditions
- auteur
- Julien Leroudier, Julien Zaccaro, Manuel Ildefonso, S. Veesler, Jose Baruchel, Alain Ibanez
- article
- Crystal Growth & Design, 2011, 11 (6), pp.2592-2598. ⟨10.1021/cg200342w⟩
- Accès au bibtex
-
- titre
- Suppression of magnetic ordering in quasi-one-dimensional FexCo1-xNb2O6 compounds
- auteur
- P. W. C. Sarvezuk, E. J. Kinast, Claire Colin, M. A. Gusmao, J. B. M. da Cunha, Olivier Isnard
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.174412. ⟨10.1103/PHYSREVB.83.174412⟩
- Accès au texte intégral et bibtex
-
- titre
- Hole traps profile and physical properties of deep levels in various homoepitaxial diamond films studied by isothermal and deep level transient spectroscopies
- auteur
- Pierre Muret, Pierre-Nicolas Volpe, Julien Pernot, Franck Omnès
- article
- Diamond and Related Materials, 2011, 20 (5-6), pp.722-725. ⟨10.1016/j.diamond.2011.03.013⟩
- Accès au bibtex
-
- titre
- Polariton Condensation in a One-Dimensional Disordered Potential
- auteur
- F. Manni, K. G. Lagoudakis, B. Pietka, L. Fontanesi, M. Wouters, V. Savona, Régis André, B. Deveaud-Plédran
- article
- Physical Review Letters, 2011, 106 (17), pp.176401. ⟨10.1103/PhysRevLett.106.176401⟩
- Accès au bibtex
-
- titre
- The Pyrrhotite 32K magnetic transition
- auteur
- Pierre Wolfers, Gérard Fillion, Bachir Ouladdiaf, Rafik Ballou, Pierre Rochette
- article
- Solid State Phenomena, 2011, 170, pp.174-179. ⟨10.4028/www.scientific.net/SSP.170.174⟩
- Accès au bibtex
-
- titre
- High pressure x-ray diffraction study of the volume collapse in Ba24Si100 clathrate
- auteur
- Pierre Toulemonde, D. Machon, A. San Miguel, M. Amboage
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.134110. ⟨10.1103/PhysRevB.83.134110⟩
- Accès au texte intégral et bibtex
-
- titre
- The contribution of x-ray specular reflectometry to the oxygen-induced magnetic properties in Pt/Co/AlOx
- auteur
- Houmed Garad, Luc Ortega, Aline Y. Ramos, Jacques Marcus, Frédéric Gay, Farid Fettar, Stephane Auffret, Bernard Rodmacq, Bernard Dieny
- article
- Journal of Applied Physics, 2011, 109, pp.07C117. ⟨10.1063/1.3563060⟩
- Accès au texte intégral et bibtex
-
- titre
- Persistence of superconductivity in niobium ultrathin films grown on R-plane sapphire
- auteur
- Cécile Delacour, L. Ortega, M. Faucher, T. Crozes, T. Fournier, B. Pannetier, V. Bouchiat
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (14), pp.144504. ⟨10.1103/PhysRevB.83.144504⟩
- Accès au texte intégral et bibtex
-
- titre
- Highly anisotropic epitaxial L10 FePt on Pt(001)
- auteur
- Marcio Soares, Hélio C. N. Tolentino, Maurizio de Santis, Aline Y. Ramos, Julio C. Cezar
- article
- Journal of Applied Physics, 2011, 109, pp.07D725. ⟨10.1063/1.3556782⟩
- Accès au texte intégral et bibtex
-
- titre
- High-pressure synthesis and structural behavior of sodium orthonitrate Na3NO4
- auteur
- Raul Quesada Cabrera, Andrea Sella, Edward Bailey, Olivier Leynaud, Paul F. Mcmillan
- article
- Journal of Solid State Chemistry, 2011, 184 (4), pp.915-920. ⟨10.1016/j.jssc.2011.02.013⟩
- Accès au texte intégral et bibtex
-
- titre
- Perturbed Angular Correlations Studies in the HgBa2CaCu2O6+delta high-T-C Superconductor
- auteur
- Tania Mendonca, J.G. Correia, H. Haas, Philippe Odier, P. B. Tavares, M.R. da Silva, A.M.L. Lopes, Céline Darie, J.P. Araujo
- article
- Journal of Superconductivity and Novel Magnetism, 2011, 24 (3), pp.1153. ⟨10.1007/s10948-010-1099-8⟩
- Accès au bibtex
-
- titre
- Nordgauite, MnAl2(PO4)(2)(F,OH)(2)center dot 5H(2)O, a new mineral from the Hagendorf-Sud pegmatite, Bavaria, Germany: description and crystal structure
- auteur
- W. D. Birch, Ian Grey, S. J. Mills, Allan Pring, Catherine Bougerol, A. Ribaldi-Tunnicliffe, N. C. Wilson, E. Keck
- article
- Mineralogical Magazine, 2011, 75 (2), pp.269. ⟨10.1180/minmag.2011.075.2.269⟩
- Accès au bibtex
-
- titre
- Effects of motion on exciton magnetic properties
- auteur
- Vladimir Kochereshko, John Davies, Lowenna Smith, Daniel Wolverson, H. Mariette, Hervé Boukari, Maciej Wiater, Grzegorz Karczewski, Tomasz Wojtowicz
- article
- physica status solidi (c), 2011, 8 (4), pp.1173-1177. ⟨10.1002/pssc.201000847⟩
- Accès au bibtex
-
- titre
- Competing magnetic interactions in the intermetallic compounds Pr5Ge3 and Nd5Ge3
- auteur
- R. Nirmala, A. V. Morozkin, A. K. Nigam, Jagat Lamsal, W. B. Yelon, Olivier Isnard, S. A. Granovsky, K. Kamala Bharathi, S. Quezado, S. K. Malik
- article
- Journal of Applied Physics, 2011, 109, pp.07A716. ⟨10.1063/1.3556920⟩
- Accès au bibtex
-
- titre
- Behavior of CVD diamond-based TL dosimeters in radiotherapy environments using photon and electron beams from treatment accelerators
- auteur
- Mourad Benabdesselam, A. Petitfils, Frédéric Wrobel, Franck Mady, Serge Marcie, Etienne Gheeraert
- article
- Diamond and Related Materials, 2011, 20 (4), pp.520-522. ⟨10.1016/j.diamond.2011.02.004⟩
- Accès au bibtex
-
- titre
- New investigation of the magnetic structure of CoNb2O6 columbite
- auteur
- P. W. C. Sarvezuk, E. J. Kinast, Claire Colin, M. A. Gusmao, J. B. M. da Cunha, Olivier Isnard
- article
- Journal of Applied Physics, 2011, 109, pp.07E160. ⟨10.1063/1.3562516⟩
- Accès au bibtex
-
- titre
- Enhanced iron magnetic moment in the ThFe11C2 intermetallic compound
- auteur
- D. Benea, Olivier Isnard, J. Minár, H. Ebert, V. Pop
- article
- Journal of Applied Physics, 2011, 109, pp.3909. ⟨10.1063/1.3567923⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermo-optical characteristics and concentration quenching effects in Nd3+ doped Yttrium calcium borate (YCaB) glasses.
- auteur
- D.R.S. Santos, C.N. Santos, A.S.S. de Camargo, W.F. Silva, W.R. Santos, M.V.D. Vermelho, N.G.C. Astrath, M.S. Malacarne, A.C. Li, Antonio Carlos Hernandes, Alain Ibanez, C. Jacinto
- article
- Journal of Chemical Physics, 2011, 134 (12), pp.124503. ⟨10.1063/1.3567091⟩
- Accès au bibtex
-
- titre
- In Situ Electron-Beam Polymerization Stabilized Quantum Dot Micelles
- auteur
- Nathalie Travert-Branger, Fabien Dubois, Jean Philippe Renault, Serge Pin, Benoit Mahler, Edmond Gravel, Benoit Dubertret, Eric Doris
- article
- Langmuir, 2011, 27 (8), pp.4358-4361. ⟨10.1021/la200509z⟩
- Accès au bibtex
-
- titre
- Random local strain effects in the relaxor ferroelectric BaTi1-xZrxO3: experimental and theoretical investigation
- auteur
- Claire Laulhé, Francoise Hippert, Jens Kreisel, Alain Pasturel, Annie Simon, Jean-Louis F Hazemann, Robert Bellissent, Gabriel Cuello
- article
- Phase Transitions, 2011, 84 (5-6), pp.438-452. ⟨10.1080/01411594.2010.547153⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of thermal fluctuations on the Nernst signal in superconducting (K,Ba)BiO3 single crystals
- auteur
- Thierry Klein, Z. Pribulova, Raoul Piquerel, Hervé Cercellier, Jacques Marcus, C. Marcenat
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.094524. ⟨10.1103/PhysRevB.83.094524⟩
- Accès au texte intégral et bibtex
-
- titre
- Probing the Dynamics of Spontaneous Quantum Vortices in Polariton Superfluids
- auteur
- K. G. Lagoudakis, F. Manni, B. Pietka, M. Wouters, T. C. H. Liew, V. Savona, A. V. Kavokin, Régis André, Benoit Deveaud-Plédran
- article
- Physical Review Letters, 2011, 106 (11), pp.115301. ⟨10.1103/PhysRevLett.106.115301⟩
- Accès au bibtex
-
- titre
- Wafer-scale selective area growth of GaN hexagonal prismatic nanostructures on c-sapphire substrate
- auteur
- Xiao Jun Chen, Jun-Seok Hwang, Guillaume Perillat-Merceroz, Stefan Landis, Brigitte Martin, Daniel Le Si Dang, Joël Eymery, Christophe Durand
- article
- Journal of Crystal Growth, 2011, 322 (1), pp.15. ⟨10.1016/j.jcrysgro.2011.03.007⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and investigation of surface addressable photonic crystal cavity confined band edge modes for quantum photonic devices
- auteur
- P. Nedel, Xavier Letartre, C. Seassal, Alexia Auffèves, L. Ferrier, E. Drouard, A. Rahmani, P. Viktorovitch
- article
- Optics Express, 2011, 19 (6), pp.5014-5025. ⟨10.1364/OE.19.005014⟩
- Accès au bibtex
-
- titre
- Speciation and thermodynamic properties for cobalt chloride complexes in hydrothermal fluids at 35-440 degrees C and 600 bar: An in-situ XAS study
- auteur
- Weihua Liu, Stacey Borg, Denis Testemale, Barbara Etschmann, Jean-Louis Hazemann, J. Brugger
- article
- Geochimica et Cosmochimica Acta, 2011, 75 (5), pp.1227-1248. ⟨10.1016/j.gca.2010.12.002⟩
- Accès au bibtex
-
- titre
- Magnetic ordering of novel La3NiGe2-type R3CoGe2 compounds (R = Pr, Nd, Sm, Gd-Dy)
- auteur
- Pietro Manfrinetti, A. V. Morozkin, Olivier Isnard, Federico Wrubl, Y. Mozharivskyj, Volodymyr Svitlyk
- article
- Intermetallics, 2011, 19, pp.321-326. ⟨10.1016/j.intermet.2010.10.013⟩
- Accès au bibtex
-
- titre
- Monitoring microbial redox transformations of metal and metalloid elements under high pressure using in situ X-ray absorption spectroscopy
- auteur
- Augustin Picard, Isabelle Daniel, D. Testemale, I. Kieffer, P. Bleuet, Hervé Cardon, Philippe M. Oger
- article
- Geobiology, 2011, 9 (2), pp.196-204. ⟨10.1111/j.1472-4669.2010.00270.x⟩
- Accès au bibtex
-
- titre
- Influence of the substrate type on CVD grown homoepitaxial diamond layer quality by cross sectional TEM and CL analysis
- auteur
- D. Araujo, M. P. Alegre, J. Garcia A., J. Navas, M. P. Villar, Etienne Bustarret, Pierre-Nicolas Volpe, Franck Omnès
- article
- Diamond and Related Materials, 2011, 20 (3), pp.428-432. ⟨10.1016/j.diamond.2011.01.044⟩
- Accès au bibtex
-
- titre
- Investigation of the pressure and temperature dependence of the intrinsic magnetic properties of YCo 4Ge
- auteur
- Claire Colin, Olivier Isnard, Zdenek Arnold, Jiri Kamarad
- article
- Journal of Magnetism and Magnetic Materials, 2011, 323, pp.874-880. ⟨10.1016/J.JMMM.2010.11.074⟩
- Accès au bibtex
-
- titre
- Dry etching of diamond nanowires using self-organized metal droplet masks
- auteur
- Wiebke Janssen, Etienne Gheeraert
- article
- Diamond and Related Materials, 2011, 20 (3), pp.389-394. ⟨10.1016/j.diamond.2011.01.037⟩
- Accès au bibtex
-
- titre
- Schottky diode architectures on p-type diamond for fast switching, high forward current density and high breakdown field rectifiers
- auteur
- Pierre Muret, Pierre-Nicolas Volpe, Thu Nhi Tran Thi, Julien Pernot, Christophe Hoarau, Franck Omnès, Tokuyuki Teraji
- article
- Diamond and Related Materials, 2011, 20 (3), pp.285-289. ⟨10.1016/j.diamond.2011.01.008⟩
- Accès au bibtex
-
- titre
- NdRhSn: A ferromagnet with an antiferromagnetic precursor
- auteur
- M. Mihalik, J. Prokleška, J. Kamarád, K. Prokeš, Olivier Isnard, G. J. Mcintyre, A. Dönni, S. Yoshii, H. Kitazawa, V. Sechovský, F. R. de Boer
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.104403. ⟨10.1103/PHYSREVB.83.104403⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and magnetic properties of nanocrystalline NiFeCuMo powders produced by wet mechanical alloying
- auteur
- Bogdan Neamtu, Olivier Isnard, Ionel Chicinas, V. Pop
- article
- Journal of Alloys and Compounds, 2011, 509 (8), pp.3632-3637. ⟨10.1016/j.jallcom.2010.12.126⟩
- Accès au bibtex
-
- titre
- Cross sectional evaluation of boron doping and defect distribution in homoepitaxial diamond layers
- auteur
- Daniel Araújo, Maria de La Paz Alegre, Antonio J. Garcia, Maria del Pilar Villar, Etienne Bustarret, Philipp Achatz, Pierre-Nicolas Volpe, Franck Omnès
- article
- physica status solidi (c), 2011, 8, pp.1366. ⟨10.1002/pssc.201083991⟩
- Accès au bibtex
-
- titre
- Spin waves in magnetic quantum wells with Coulomb interaction and sd exchange coupling
- auteur
- Florent Perez, Joel Cibert, Maria Vladimirova, Denis Scalbert
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (7), pp.075311. ⟨10.1103/PhysRevB.83.075311⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of benzene on the Ni3Fe nanocrystalline compound formation by wet mechanical alloying: An investigation combining DSC, X-ray diffraction, mass and IR spectrometries
- auteur
- Bogdan Neamtu, Olivier Isnard, Ionel Chicinas, C. Vagner, N. Jumate, Philippe Plaindoux
- article
- Materials Chemistry and Physics, 2011, 125, pp.364-369. ⟨10.1016/j.matchemphys.2010.10.056⟩
- Accès au bibtex
-
- titre
- The Influence of Processing Parameters on the Magnetic Properties of the Nanocrystalline Soft Magnetic Composites Based on Ni3Fe
- auteur
- Bogdan Neamtu, Olivier Geoffroy, Olivier Isnard, Ionel Chicinas, Florin Popa, V. Pop
- article
- Materials Science Forum, 2011, 672, pp.187-190. ⟨10.4028/www.scientific.net/MSF.672.187⟩
- Accès au bibtex
-
- titre
- Microstructural mapping of C60 phase transformation into disordered graphite at high pressure, using X-ray diffraction microtomography
- auteur
- Michelle Alvarez-Murga, Pierre Bleuet, Leonel Marques, Christophe Lepoittevin, Nathalie Boudet, Gaston Garbarino, Mohamed Mezouar, Jean-Louis Hodeau
- article
- Journal of Applied Crystallography, 2011, 44 (1), pp.163-171. ⟨10.1107/S0021889810050399⟩
- Accès au bibtex
-
- titre
- Direct observation of Oersted-field-induced magnetization dynamics in magnetic nanostripes
- auteur
- Vojtech Uhlir, Stefania Pizzini, Nicolas Rougemaille, Vincent Cros, Erika Jimenez, Laurent Ranno, Olivier Fruchart, Michal Urbanek, Gilles Gaudin, Julio Camarero, Carsten Tieg, Fausto Sirotti, Edouard Wagner, Jan Vogel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.020406. ⟨10.1103/PhysRevB.83.020406⟩
- Accès au texte intégral et bibtex
-
- titre
- All Optical Switch of Vacuum Rabi Oscillations: The Ultrafast Quantum Eraser
- auteur
- A. Ridolfo, R. Vilardi, O. Di Stefano, Stefano Portolan, S. Savasta
- article
- Physical Review Letters, 2011, 106 (1), pp.013601. ⟨10.1103/PhysRevLett.106.013601⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and magnetic properties of nanocrystalline ZnFe2O4 powder synthesized by reactive ball milling
- auteur
- Traian Florin Marinca, Ionel Chicinas, Olivier Isnard, Viorel Pop
- article
- Optoelectronics and Advanced Materials - Rapid Communications, 2011, 5, pp.39-43
- Accès au texte intégral et bibtex
-
- titre
- Influence of wet milling conditions on the structural and magnetic properties of Ni3Fe nanocrystalline intermetallic compound
- auteur
- Bogdan Neamtu, Ionel Chicinas, Olivier Isnard, Florin Popa, Viorel Pop
- article
- Intermetallics, 2011, 19, pp.19-25. ⟨10.1016/j.intermet.2010.09.004⟩
- Accès au bibtex
-
- titre
- On the R 5d band polarization in rare-earth-transition metal compounds
- auteur
- E. Burzo, L. Chioncel, R. Tetean, Olivier Isnard
- article
- Journal of Physics: Condensed Matter, 2011, 23, pp.6001. ⟨10.1088/0953-8984/23/2/026001⟩
- Accès au bibtex
-
- titre
- Tuning macro-twinned domain sizes and the b-variants content of the adaptive 14-modulated martensite in epitaxial Ni-Mn-Ga films by co-sputtering
- auteur
- Jérémy Tillier, Daniel Bourgault, Laurent Carbone, Philippe Odier, Luc Ortega, Sébastien Pairis, Olivier Fruchart, Nathalie Caillault
- article
- Acta Materialia, 2011, 59 (1), pp.75-81. ⟨10.1016/j.actamat.2010.09.006⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherent coupling between distant excitons revealed by two-dimensional nonlinear hyperspectral imaging
- auteur
- Jacek Kasprzak, B. Patton, V. Savona, Wolfgang Langbein
- article
- Nature Photonics, 2011, 5 (1), pp.57-63. ⟨10.1038/NPHOTON.2010.284⟩
- Accès au bibtex
-
- titre
- Double strain state in a single GaN/AlN nanowire: Probing the core-shell effect by ultraviolet resonant Raman scattering
- auteur
- V. Laneuville, François Demangeot, Renaud Péchou, Philippe Salles, Anne Ponchet, G. Jacopin, Lorenzo Rigutti, A.D.L. Bugallo, Maria Tchernycheva, F.H. Julien, K. March, L. Zagonel, Rudeesun Songmuang
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (11), pp.115417. ⟨10.1103/PhysRevB.83.115417⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and optical properties of InGaN/GaN nanowire heterostructures grown by PA-MBE
- auteur
- Gabriel Tourbot, Catherine Bougerol, A. Grenier, Martien den Hertog, Diane Sam-Giao, D. Cooper, P. Gilet, Bruno Gayral, B. Daudin
- article
- Nanotechnology, 2011, 22 (7), pp.75601. ⟨10.1088/0957-4484/22/7/075601⟩
- Accès au bibtex
-
- titre
- Comparison of solid-state crystallization of boron polymorphs at ambient and high pressures
- auteur
- Oleksandr O. Kurakevych, Yann Le Godec, Tahar Hammouda, Céline Goujon
- article
- High Pressure Research, 2011, 32 (1), pp.30-38. ⟨10.1080/08957959.2011.635145⟩
- Accès au texte intégral et bibtex
-
- titre
- Nucleation of GaN nanowires grown by plasma-assisted molecular beam epitaxy: The effect of temperature
- auteur
- Rafael Mata, Karine Hestroffer, J. Budagosky, A. Cros, Catherine Bougerol, Hubert Renevier, B. Daudin
- article
- Journal of Crystal Growth, 2011, 334 (1), pp.177-180. ⟨10.1016/j.jcrysgro.2011.08.015⟩
- Accès au bibtex
-
- titre
- Wave Particle Duality and the Afshar Experiment
- auteur
- Aurelien Drezet
- article
- Progress in Physics, 2011, 1, pp.57-64
- Accès au bibtex
-
- titre
- Transient localization in crystalline organic semiconductors
- auteur
- S. Ciuchi, Simone Fratini, Didier Mayou
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.081202. ⟨10.1103/PhysRevB.83.081202⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of hydrogen in Hf(7)Ni(10) combined with TiV(0.8)Cr(1.2) by PAC
- auteur
- J. M. Gil, B. Costa, Patricia de Rango, Daniel Fruchart, Salvatore Miraglia, N. Skryabina
- article
- Solid State Phenomena, 2011, 170, pp.293-297. ⟨10.4028/www.scientific.net/SSP.170.293⟩
- Accès au bibtex
-
- titre
- Non-magnetic impurity doping effect on the magnetic state of p-type Al-doped delafossite oxide CuCrO2
- auteur
- F. Jlaiel, Mongi Amami, Pierre Strobel, A. Ben Salah
- article
- Central European Journal of Chemistry, 2011, 9, pp.953. ⟨10.2478/s11532-011-0073-z⟩
- Accès au texte intégral et bibtex
-
- titre
- One-dimensional ZnO exciton polaritons with negligible thermal broadening at room temperature
- auteur
- Aurélien Trichet, Liaoxin Sun, Goran Pavlovic, Nikolay A. Gippius, Guillaume Malpuech, Wei Xie, Zhanghai Chen, Maxime Richard, Daniel Le Si Dang
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (4), pp.041302(R). ⟨10.1103/PhysRevB.83.041302⟩
- Accès au bibtex
-
- titre
- Optical Stark Effect and Dressed Exciton States in a Mn-Doped CdTe Quantum Dot
- auteur
- Claire Le Gall, Adalberto Brunetti, Hervé Boukari, Lucien Besombes
- article
- Physical Review Letters, 2011, 107, pp.057401. ⟨10.1103/PhysRevLett.107.057401⟩
- Accès au texte intégral et bibtex
-
- titre
- Pressure-induced amorphization mechanism in Eu(2)(MoO(4))(3)
- auteur
- O. Le Bacq, D. Machon, Denis Testemale, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (21), pp.214101. ⟨10.1103/PhysRevB.83.214101⟩
- Accès au bibtex
-
- titre
- Diffusion Of Indigo Molecules Inside The Palygorskite Clay Channels
- auteur
- Catherine Dejoie, Pauline Martinetto, Eric Dooryhee, Elsa van Eslande, Sylvie Blanc, Patrice Bordat, Ross Brown, Florence Porcher, Michel Anne
- article
- Materials Research Society Symposia Proceedings, 2011, 1319, pp.mrsf10-1319-ww06-01. ⟨10.1557/opl.2011.924⟩
- Accès au texte intégral et bibtex
-
- titre
- Living cell imaging by far-field fibered interference scanning optical microscopy
- auteur
- Jean-Baptiste Decombe, Wilfrid Schwartz, Catherine Villard, Hervé Guillou, Joël Chevrier, Serge Huant, Jochen Fick
- article
- Optics Express, 2011, 19 (3), pp.2702--2710. ⟨10.1364/OE.19.002702⟩
- Accès au texte intégral et bibtex
-
- titre
- Improved hydrogen storage capacity through hydrolysis of solid NaBH4 catalyzed with cobalt boride
- auteur
- J. Delmas, L. Laversenne, I. Rougeaux, P. Capron, A. Garron, S. Bennici, D. Swierczynski, A. Auroux
- article
- International Journal of Hydrogen Energy, 2011, 36 (3), pp.2145-2153. ⟨10.1016/j.ijhydene.2010.11.036⟩
- Accès au bibtex
-
- titre
- Stochastic resonance and charge density wave dynamics in quasi-one-dimensional conductors
- auteur
- Jean Dumas, Jacques Marcus
- article
- Solid State Communications, 2011, 151 (8), pp.591-594. ⟨10.1016/j.ssc.2011.02.010⟩
- Accès au bibtex
-
- titre
- Al-doping effect on the structural and physical properties of delafossite-type oxide CuCrO2
- auteur
- Mongi Amami, Claire Colin, Pierre Strobel, A. Ben Salah
- article
- Physica B: Condensed Matter, 2011, 406, pp.2182. ⟨10.1016/j.physb.2011.03.027⟩
- Accès au bibtex
-
- titre
- Hydrogen-induced passivation of boron acceptors in monocrystalline and polycrystalline diamond
- auteur
- Julien Barjon, N. Habka, Jacques Chevallier, François Jomard, E. Chikoidze, Christine Mer, Jean-Charles Arnault, Philippe Bergonzo, Amit Kumar, Julien Pernot, Franck Omnès
- article
- Physical Chemistry Chemical Physics, 2011, 13 (24), pp.11511-11516. ⟨10.1039/c1cp20303g⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic Properties and Magnetocaloric Effect in Selected MM'X-Type (M, M' = 3d or 4d Metal, X = As, P, Ge) and Mn1-xTxAs-Type (T = 3d Metal) Intermetallics
- auteur
- R. Zach, W. Chajec, J. Tobola, Daniel Fruchart, El-Kebir Hlil, Mohamed Balli, Pierre Wolfers
- article
- Solid State Phenomena, 2011, 170, pp.180-184. ⟨10.4028/www.scientific.net/SSP.170.180⟩
- Accès au texte intégral et bibtex
-
- titre
- Buildup and decay of the coherence in a polariton condensate
- auteur
- E. del Valle, D. Sanvitto, A. Amo, F. Laussy, M. D. Martin, R. Andre, C. Tejedor, L. Viña
- article
- AIP Conference Proceedings, 2011, Physics of semiconductors: 30th International Conference on the Physics of Semiconductors, 1399, pp.409. ⟨10.1063/1.3666427⟩
- Accès au bibtex
-
- titre
- Direct observation of the influence of the As-Fe-As angle on the Tc of superconducting SmFeAsO1−x Fx
- auteur
- Gaston Garbarino, Ruben Weht, Amadou Sow, André Sulpice, Pierre Toulemonde, Michelle Alvarez-Murga, Pierre Strobel, Pierre Bouvier, Mohamed Mezouar, Manuel Nunez-Regueiro
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84, pp.024510. ⟨10.1103/PhysRevB.84.024510⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards a structure-performance relationship for hydrogen storage in Ti-doped NaAlH4 nanoparticles
- auteur
- Cornelius P. Balde, Olivier Leynaud, Paul Barnes, Elena Pelaez-Jimenez, Johannes H. Bitter, Krijn P. de Jong
- article
- Chemical Communications, 2011, 47 (7), pp.2143-2145. ⟨10.1039/c0cc02787a⟩
- Accès au bibtex
-
- titre
- Influence of s, p-d and s- p exchange couplings on exciton splitting in Zn1−xMnxO
- auteur
- Wojciech Pacuski, J. Suffczyński, P. Osewski, Piotr Kossacki, A. Golnik, Jan A. Gaj, Christiane Deparis, Christian Morhain, E. Chikoidze, Yves Dumont, David Ferrand, Joel Cibert, Tomasz Dietl
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84, pp.035214. ⟨10.1103/PhysRevB.84.035214⟩
- Accès au bibtex
-
- titre
- Speciation of AsIII and AsV in hydrothermal fluids by in situ X-ray absorption spectroscopy
- auteur
- Denis Testemale, Gleb S. Pokrovski, Jean-Louis Hazemann
- article
- European Journal of Mineralogy, 2011, 23 (3), pp.379-390. ⟨10.1127/0935-1221/2011/0023-2104⟩
- Accès au bibtex
-
- titre
- High efficiency multichannel collimator for structural studies of liquids and low-Z materials at high pressures and temperatures
- auteur
- Guillaume Morard, M. Mezouar, S. Bauchau, Michelle Alvarez-Murga, Jean-Louis Hodeau, G. Garbarino
- article
- Review of Scientific Instruments, 2011, 82, pp.023904. ⟨10.1063/1.3551988⟩
- Accès au bibtex
-
- titre
- Cationic doping effect on the structural, magnetic and spectroscopic properties of delafossite oxides CuCr1−x(Sc,Mg)xO2
- auteur
- Mongi Amami, S. Smari, K. Tayeb, Pierre Strobel, A. Ben Salah
- article
- Materials Chemistry and Physics, 2011, 128, pp.298. ⟨10.1016/j.matchemphys.2011.03.021⟩
- Accès au bibtex
-
- titre
- Synthesis, structural and magnetic studies of the CuCr1ﰄxRhxO2 delafossite solid solution with 0 ﰉ x ﰉ 0.2
- auteur
- Mongi Amami, F. Jlaiel, Pierre Strobel, A. Ben Salah
- article
- Materials Research Bulletin, 2011, 46, pp.1729. ⟨10.1016/j.materresbull.2011.05.033⟩
- Accès au bibtex
-
- titre
- Geometry Optimization for SMES Solenoids Using HTS Ribbons
- auteur
- J.M. Rey, M. Bruchon, X. Chaud, F. Debray, Thibault Lecrevisse, Eric Mossang, Pascal Tixador
- article
- IEEE Transactions on Applied Superconductivity, 2011, 21 (3), pp.1670-1673. ⟨10.1109/TASC.2010.2095402⟩
- Accès au bibtex
-
- titre
- Nanometer Scale Spectral Imaging of Quantum Emitters in nanowires and Its Correlation to Their Atomically Resolved Structure
- auteur
- Luiz Fernando Zagonel, S. Mazzucco, M. Tence, K. March, R. Bernard, Benoit Laslier, G. Jacopin, Maria Tchernycheva, Lorenzo Rigutti, F.H. Julien, Rudeesun Songmuang, M. Kociak
- article
- Nano Letters, 2011, 11, pp.568. ⟨10.1021/nl103549t⟩
- Accès au texte intégral et bibtex
-
- titre
- Parity Broken Chiral Spin Dynamics in Ba$_3$NbFe$_3$Si$_2$O$_{14}$
- auteur
- Mickael Loire, Virginie Simonet, Sylvain Petit, Karol Marty, Pierre Bordet, Pascal Lejay, Jacques Ollivier, Mechthild Enderle, Paul Steffens, Eric Ressouche, Andrej Zorko, Rafik Ballou
- article
- Physical Review Letters, 2011, 106 (20), pp.207201. ⟨10.1103/PhysRevLett.106.207201⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase transformations in Ti-V-Cr-H composition
- auteur
- N. Skryabina, Daniel Fruchart, Salvatore Miraglia, Patricia de Rango, Marina Shelyapina
- article
- Solid State Phenomena, 2011, 170, pp.302-306. ⟨10.4028/www.scientific.net/SSP.170.302⟩
- Accès au bibtex
-
- titre
- The Diamond Superconducting Quantum Interference Device
- auteur
- Soumen Mandal, Tobias Bautze, Oliver A. Williams, Cécile Naud, Etienne Bustarret, Franck Omnès, Pierre Rodière, Tristan Meunier, Christopher Bäuerle, Laurent Saminadayar
- article
- ACS Nano, 2011, 5 (9), pp.7144-7148. ⟨10.1021/nn2018396⟩
- Accès au bibtex
-
- titre
- A new differential thermal analysis setup for measuring high pressure phase transitions
- auteur
- Céline Goujon, Murielle Legendre, Philippe Plaindoux, Alain Prat, Rémy Bruyère
- article
- High Pressure Research, 2011, 31 (3), pp.375-387. ⟨10.1080/08957959.2011.598868⟩
- Accès au bibtex
-
- titre
- The quality of precession electron diffraction data is higher than necessary for structure solution of unknown crystalline phases
- auteur
- Holger Klein, Jérémy David
- article
- Acta Crystallographica Section A : Foundations and Advances [2014-..], 2011, 67, pp.297-302. ⟨10.1107/S0108767311006581⟩
- Accès au bibtex
-
- titre
- Synthesis, crystal structure and physico-chemical properties of the new quaternary oxide Sr5BiNi2O9.6
- auteur
- M.V. Novitskaya, Leonid V. Makhnach, Ludmila Ivashkevich, Vladimir V. Pankov, Holger Klein, Amélie Rageau, Jérémy David, Mauro Gemmi, Joke Hadermann, Pierre Strobel
- article
- Journal of Solid State Chemistry, 2011, 184, pp.3262. ⟨10.1016/j.jssc.2011.10.020⟩
- Accès au bibtex
-
- titre
- Epitaxial refractory-metal buffer layers with a chemical gradient for adjustable lattice parameter and controlled chemical interface
- auteur
- Olivier Fruchart, Anthony Rousseau, Didier Schmaus, A. L'Hoir, Richard Haettel, Luc Ortega
- article
- Applied Physics Letters, 2011, 98, pp.131906. ⟨10.1063/1.3567793⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal Structure Analysis of the Mg2Si1-xSnx System Having Potential Thermoelectric Properties at High Temperature
- auteur
- D. Boudemagh, D. Fruchart, R. Haettel, E.K. Hlil, A. Lacoste, L. Ortega, N. Skryabina, P. Wolfers
- article
- Solid State Phenomena, 2011, 170, pp.253-258. ⟨10.4028/www.scientific.net/SSP.170.253⟩
- Accès au bibtex
-
- titre
- Focus issue introduction : nonlinear optics
- auteur
- Benoit Boulanger, S.T. Cundiff, D.J. Gauthier, M. Karlsson, Y. Lu, R.A. Norwood, Dmitry V. Skryabin, Takunori Taira
- article
- Optics Express, 2011, 19 (23), pp.23561-23566. ⟨10.1364/OE.19.023561⟩
- Accès au bibtex
-
- titre
- Transport J(c) Measurements of HTS Conductors under High Magnetic Field at LNCMI
- auteur
- Xavier Chaud, Debray Francois, L. Ronayette, Eric Mossang, Pascal Tixador, Jean-Michel Rey, Thibault Lecrevisse, Christian-Eric Bruzek
- article
- Physics Procedia, 2011, 36, pp.1456-1461. ⟨10.1016/j.phpro.2012.06.114⟩
- Accès au bibtex
-
- titre
- Near-field microscopy with a single-photon point-like emitter: Resolution versus the aperture tip?
- auteur
- Aurelien Cuche, Serge Huant, Aurélien Drezet
- article
- Optics Communications, 2011, 284 (5), pp.1444-1450. ⟨10.1016/j.optcom.2010.10.097⟩
- Accès au bibtex
-
- titre
- Wave-vector dependence of magnetic properties of excitons in ZnTe
- auteur
- L.C. Smith, J.J. Davies, D. Wolverson, Hervé Boukari, Henri Mariette, V.P. Kochereshko, R.T. Philips
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83, pp.155206. ⟨10.1103/PhysRevB.83.155206⟩
- Accès au texte intégral et bibtex
-
- titre
- New insight into the contributions of thermogenic processes and biogenic sources to the generation of organic compounds in hydrothermal fluids
- auteur
- Cécile Konn, Denis Testemale, Joël Querellou, N. G. Holm, J.L. Charlou
- article
- Geobiology, 2011, 9 (1), pp.79-93. ⟨10.1111/j.1472-4669.2010.00260.x⟩
- Accès au texte intégral et bibtex
-
- titre
- Atomic force microscope measurement and LCAO-S-2+vdW calculations of contact length between a carbon nanotube and a graphene surface
- auteur
- Mahamoudou Seydou, Yannick J. Dappe, Sophie Marsaudon, Jean-Pierre Aimé, Xavier Bouju, Anne-Marie Bonnot
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (4), pp.045410. ⟨10.1103/PhysRevB.83.045410⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase-matching properties and refined Sellmeier equations of the new nonlinear infrared crystal CdSiP2
- auteur
- Vincent Kemlin, Pierre Brand, Benoit Boulanger, Patricia Segonds, P. G. Schunemann, K.T. Zawilski, Bertrand Ménaert, Jérôme Debray
- article
- Optics Letters, 2011, 36 (10), pp.1800-1802. ⟨10.1364/OL.36.001800⟩
- Accès au bibtex
-
- titre
- Breakdown of the semi-classical conduction theory in approximants of the octagonal tiling
- auteur
- Guy Trambly de Laissardière, Christophe Oguey, Didier Mayou
- article
- Philosophical Magazine, 2011, 91 (19-21), pp.2778-2786. ⟨10.1080/14786435.2010.523718⟩
- Accès au texte intégral et bibtex
-
- titre
- Refined modeling of angular distributions of linear absorption and fluorescence in biaxial crystals
- auteur
- Yannick Petit, Simon Joly, Patricia Segonds, Benoit Boulanger
- article
- Laser Physics, 2011, 21 (7), pp.1305-1312. ⟨10.1134/S1054660X11130214⟩
- Accès au bibtex
-
- titre
- MgH2 intermediate scale tank tests under various experimental conditions
- auteur
- Sylvain Garrier, Albin Chaise, Patricia de Rango, Philippe Marty, Daniel Fruchart, Salvatore Miraglia
- article
- International Journal of Hydrogen Energy, 2011, 36 (16), pp.9719-9726. ⟨10.1016/j.ijhydene.2011.05.017⟩
- Accès au bibtex
-
- titre
- An improved AFM cross-sectional method for piezoelectric nanostructures properties investigation: application to GaN nanowires
- auteur
- Xin Xu, Alexis Potié, Rudeesun Songmuang, Jae Woo Lee, Bogdan Bercu, Thierry Baron, Bassem Salem, Laurent Montès
- article
- Nanotechnology, 2011, 22 (10), pp.105704. ⟨10.1088/0957-4484/22/10/105704⟩
- Accès au texte intégral et bibtex
-
- titre
- Few emitters in a cavity: From cooperative emission to individualization
- auteur
- Alexia Auffèves, D. Gerace, Stefano Portolan, Aurélien Drezet, M. Franca Santos
- article
- New Journal of Physics, 2011, 13, pp.093020. ⟨10.1088/1367-2630/13/9/093020⟩
- Accès au bibtex
-
- titre
- Semiclassical model of triple photons generation in optical fibers
- auteur
- Simon Richard, Kamel Bencheikh, Benoit Boulanger, Juan Ariel Levenson
- article
- Optics Letters, 2011, 36 (15), pp.3000-3002. ⟨10.1364/OL.36.003000⟩
- Accès au bibtex
-
- titre
- Nonlinear, dispersive, and phase-matching properties of the new chalcopyrite CdSiP2
- auteur
- Vincent Kemlin, Benoit Boulanger, Valentin Petrov, Patricia Segonds, Bertrand Ménaert, P. G. Schunemann, K.T. Zawilski
- article
- Optical Materials Express, 2011, 1 (7), pp.1292-1300. ⟨10.1364/OME.1.001292⟩
- Accès au bibtex
-
- titre
- Thermal, optical and spectroscopic characterizations of borate laser crystals
- auteur
- Marie Chavoutier, Veronique Jubera, Philippe Veber, Matias Velázquez, Oudomsack Viraphong, Jiří Hejtmánek, Rodolphe Decourt, Jérôme Debray, Bertrand Ménaert, Patricia Segonds, Frédéric Adamietz, Vincent Rodriguez, Inka Manek-Hönninger, Alexandre Fargues, D. Descamps, Alain Garcia
- article
- Journal of Solid State Chemistry, 2011, 184 (2), pp.441-446. ⟨10.1016/j.jssc.2010.12.014⟩
- Accès au texte intégral et bibtex
-
- titre
- Precession electron diffraction of Mn2O3 and PbMnO2.75: solving structures where X-rays fail
- auteur
- Holger Klein
- article
- Acta Crystallographica Section A : Foundations and Advances [2014-..], 2011, 67, pp.303-309. ⟨10.1107/S0108767311009512⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Diamond delta-FET
- auteur
- Etienne Gheeraert, Aboulaye Traoré, Julien Pernot, Alexandre Fiori, Franck Omnès, Thu Nhi Tran Thi, Etienne Bustarret, Hervé Guyot
- article
- Materials Research Society Fall Meeting, Nov 2011, Boston, United States
- Accès au bibtex
-
- titre
- Core-shell hybrid nanoparticles for bio-photonics : fluorescent organic nanocrystals grown in silicate sphères
- auteur
- Cécile Philippot, Fabien Dubois, Alain Ibanez
- article
- International Workshop on Nano and Bio-Photonics, Oct 2011, Saint Germain au Mont d'or, France
- Accès au bibtex
-
- titre
- Core-shell hybrid nanoparticles for bio-photonics : fluorescent organic nanocrystals grown in silicate sphères.
- auteur
- Cécile Philippot, Fabien Dubois, Alain Ibanez
- article
- International Workshop on Nano and Bio-Photonics, Oct 2011, Mont d'Or, France
- Accès au bibtex
-
- titre
- Delta doping for advanced diamond devices
- auteur
- Etienne Gheeraert, Aboulaye Traoré, Julien Pernot, Alexandre Fiori, Franck Omnès, Thu Nhi Tran Thi, Etienne Bustarret, Hervé Guyot
- article
- XXth International Materials Research Congress, Aug 2011, Cancun, Mexico
- Accès au bibtex
-
- titre
- Triple photons : from nonlinear generation to quantum correlations
- auteur
- Benoit Boulanger, Audrey Dot, Kamel Bencheikh, Juan Ariel Levenson, Patricia Segonds, Corinne Felix
- article
- XXX URSI General Assembly and Scientific Symposium, Lasers Session, Aug 2011, Istanbul, Turkey
- Accès au bibtex
-
- titre
- Triple photons : a new state of light
- auteur
- Benoit Boulanger, Audrey Dot, Kamel Bencheikh, Juan Ariel Levenson, Patricia Segonds, Corinne Felix
- article
- International Laser Physics Workshop 2011, Jul 2011, Sarajevo, Bosnia and Herzegovina
- Accès au bibtex
-
- titre
- Triplets de photons : de l'optique non linéaire à l'optique quantique
- auteur
- Audrey Dot, Kamel Bencheikh, Benoit Boulanger, Juan Ariel Levenson, Patricia Segonds, Corinne Felix
- article
- Colloque sur les Lasers et l'Optique Quantique (COLOQ), Jul 2011, Marseille, France
- Accès au bibtex
-
- titre
- Development of a new magnetocaloric material used in a magnetic refrigeration device
- auteur
- Frédéric Guilloud, Ulrich Legait, Afef Kedous-Lebouc, Vincent Hardy
- article
- First Euro Mediterranean Meeting on Functionalized Materials EMM-FM, Mar 2011, Sousse, Tunisia
- Accès au bibtex
-
- titre
- Localization Effects in Commensurate Metallic Gratings
- auteur
- Aude Barbara
- article
- DPG Spring Meeting, Mar 2011, Germany
- Accès au bibtex
-
- titre
- Non-metal-to-metal transition driven by van der Waals forces in an interacting polaron gaz.
- auteur
- Pascal Quemerais
- article
- DPR Spring Meeting, Mar 2011, Dresden, Germany
- Accès au bibtex
-
- titre
- Quasi-static plasmon resonances in the visible spectral range of arbitrary shaped grooves
- auteur
- Camille Maxime, Pascal Quemerais, Aude Barbara
- article
- DPG Spring Meeting, Mar 2011, Dresden, Germany
- Accès au bibtex
-
- titre
- Raman correlation spectroscopy
- auteur
- Aude Barbara
- article
- DPG Spring Meeting, Mar 2011, Germany
- Accès au bibtex
-
- titre
- Bulk PPKTP by Epitaxial Crystal Growth
- auteur
- Alexandra Peña, Bertrand Ménaert, Benoit Boulanger, Carlota Canalias, Fredrik Laurell, Valdas Pasiskevicius, Patricia Segonds, Corinne Felix
- article
- Advances In Optical Materials - OSA (AIOM), Feb 2011, Istambul, Turkey
- Accès au bibtex
-
- titre
- Multifunctional hybrid nanoparticles for two-photon fluorescence imaging and photodynamic therapy.
- auteur
- Patrice L. Baldeck, Mathieu Maurin, Cécile Philippot, S. Zaiba, Thibault Gallavardin, Olivier Maury, Chantal Andraud, Fabien Dubois, Alain Ibanez, Frédéric Lerouge, Stéphane Parola, Olivier Stephan, Boudewijn van Der Sanden
- article
- Organic photonic materials and devices XIII, Jan 2011, Paris, France. pp.79350S, ⟨10.1117/12.877759⟩
- Accès au bibtex
-
- titre
- Imaging quantum Hall Coulomb islands inside a quantum ring
- auteur
- F. Martins, B. Hackens, S. Faniel, V. Bayot, M. Pala, H. Sellier, S. Huant, L. Desplanque, X. Wallart
- article
- American Physical Society March Meeting, APS March Meeting 2011, 2011, Dallas, TX, United States
- Accès au bibtex
-
- titre
- Scanning gate transconductance microscopy and spectroscopy of a mesoscopic ring
- auteur
- B. Hackens, F. Martins, S. Faniel, V. Bayot, M. Pala, H. Sellier, S. Huant, L. Desplanque, X. Wallart
- article
- American Physical Society March Meeting, APS March Meeting 2011, 2011, Dallas, TX, United States
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Types de joints et de montage
- auteur
- Roger Argoud, Jacques Roux
- article
- Mottin, Stéphane and Lelièvre, Gérard. Matériaux et joints d'étanchéité pour les hautes pressions, 18, MRCT-CNRS, pp.137-157, 2011, Intégrations des savoirs et des savoir-faire, 978-2-918701-04-0. ⟨10.5281/zenodo.400491⟩
- Accès au texte intégral et bibtex
-
- titre
- Current trends in energy storage
- auteur
- Philippe Marty, Jean-François Fourmigue, Patricia de Rango, Nolwenn Le Pierrès
- article
- XIIIème congrès de la SFGP. Des procédés au service du produit au coeur de l'Europe (29/11-01/12, Lille), 101, SFGP, 2011, Récents progrès en génie des procédés, 978-2910239756
- Accès au bibtex
-
HDR
- titre
- Cristallographie des matériaux hétérogènes ou partiellement cristallisés : application aux matériaux du Patrimoine et solides moléculaires organiques
- auteur
- Pauline Martinetto
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université de Grenoble, 2011
- Accès au texte intégral et bibtex
-
- titre
- Towards Quantum Information Processing with Atomic and Solid State Systems
- auteur
- Signe Seidelin
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2011
- Accès au texte intégral et bibtex
-
Brevet
- titre
- Preparation and characterization of yttrium aluminoborate nanopowders for the development of a new generation of phosphors for lighting
- auteur
- Alain Ibanez, Vinicius Guimaraes, Lauro J. Q. Maia, Antonio Carlos Hernandes
- article
- Patent n° : PCT/EP2011/074032. 2011
- Accès au bibtex
-
Thèse
- titre
- Etude théorique et expérimentale de la génération et des corrélations quantiques de photons triplets générés par interaction non linéaire d'ordre trois
- auteur
- Audrey Dot
- article
- Physique [physics]. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENY071⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamique et contrôle optique d'un spin individuel dans une boîte quantique
- auteur
- Claire Le Gall
- article
- Physique [physics]. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENY057⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of the system quasi-one-dimensional AxA'1-xNb2O6 (A and A '= Ni, Fe and Co) : preparation and characterization of structural and magnetic properties.
- auteur
- Paulo Willian Carvalho Sarvezuk
- article
- Other [cond-mat.other]. Université de Grenoble; Universidade Federal do Rio Grande do Sul (Porto Alegre, Brésil), 2011. Portuguese. ⟨NNT : 2011GRENY048⟩
- Accès au texte intégral et bibtex
-
- titre
- Croissance rapide en solution de cristaux pour l'optique non linéaire quadratique
- auteur
- Julien Leroudier
- article
- Chimie organique. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENV027⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception et simulation d'un réservoir d'hydrure de magnésium avec récupération de la chaleur de réaction à l'aide d'un matériau à changement de phase
- auteur
- Sylvain Garrier
- article
- Autre [cond-mat.other]. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENY003⟩
- Accès au texte intégral et bibtex
-
2010
Article dans une revue
- titre
- Probing the exciton condensate phase in 1T-TiSe2 with photoemission
- auteur
- Claude Monney, Eike Schwier, Michael Gunnar Garnier, N. Mariotti, Clement Didiot, Hervé Cercellier, Jacques Marcus, Helmut Berger, A.N. Titov, Hans Beck, Philipp Aebi
- article
- New Journal of Physics, 2010, 12, pp.125019. ⟨10.1088/1367-2630/12/12/125019⟩
- Accès au texte intégral et bibtex
-
- titre
- Insertion of CdSe quantumdots in ZnSe nanowires : MBE growth and microstructure analysis
- auteur
- Martien den Hertog, Miryam Elouneg-Jamroz, Edith Bellet-Amalric, Samir Bounouar, Catherine Bougerol, Régis André, Yann Genuist, Jean-Philippe Poizat, Kuntheak Kheng, Serge Tatarenko
- article
- Journal of Crystal Growth, 2010, 323, pp.330-333. ⟨10.1016/j.jcrysgro.2010.11.159⟩
- Accès au texte intégral et bibtex
-
- titre
- Charged excitons and biexcitons bound to isoelectronic centers
- auteur
- S. Marcet, C. Ouellet-Plamondon, G. Ethier-Majcher, P. Saint-Jean, Régis André, J.F. Klem, S. Francoeur
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (23), pp.235311. ⟨10.1103/PhysRevB.82.235311⟩
- Accès au bibtex
-
- titre
- Excitons bound to Te isoelectronic dyads in ZnSe
- auteur
- S. Marcet, Régis André, S. Francoeur
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (23), pp.235309. ⟨10.1103/PhysRevB.82.235309⟩
- Accès au bibtex
-
- titre
- Electronic structure and magnetic properties of the ThxY1-xCo4B solid solution
- auteur
- Diana Benea, Olivier Isnard, V. Pop
- article
- Computational Materials Science, 2010, 50, pp.295-300. ⟨10.1016/j.commatsci.2010.08.018⟩
- Accès au bibtex
-
- titre
- Magnetic phases of the quasi-two-dimensional compounds FexCo1 - xTa2O6
- auteur
- E. G. Santos, S. R. de Oliveira Neto, E. J. Kinast, J. B. M. da Cunha, Olivier Isnard, M. A. Gusmao
- article
- Journal of Physics: Condensed Matter, 2010, 22, pp.6004. ⟨10.1088/0953-8984/22/49/496004⟩
- Accès au texte intégral et bibtex
-
- titre
- Spinel-type solid solutions involving Mn< sup> 4+ and Ti< sup> 4+: Crystal chemistry, magnetic and electrochemical properties
- auteur
- Loan-Phung Le-My, Pierre Strobel, Claire Colin, Thierry Pagnier, Fannie Alloin
- article
- Journal of Physics and Chemistry of Solids, 2010, 72, pp.124. ⟨10.1016/j.jpcs.2010.11.010⟩
- Accès au bibtex
-
- titre
- Dramatic effective mass reduction driven by a strong potential of competing periodicity
- auteur
- Claude Monney, Eike Schwier, Michael Gunnar Garnier, Corsin Battaglia, N. Mariotti, Clement Didiot, Hervé Cercellier, Jacques Marcus, Helmut Berger, A.N. Titov, Hans Beck, Philipp Aebi
- article
- EPL - Europhysics Letters, 2010, 92 (4), pp.47003. ⟨10.1209/0295-5075/92/47003⟩
- Accès au bibtex
-
- titre
- Impact of surfaces on the optical properties of GaAs nanowires
- auteur
- O. Demichel, M. Heiss, J. Bleuse, Henri Mariette, A.F.I. Morral
- article
- Applied Physics Letters, 2010, 97 (20), pp.201907. ⟨10.1063/1.3519980⟩
- Accès au bibtex
-
- titre
- NMR study of metal-hydrogen systems for hydrogen storage
- auteur
- V.S. Kasperovitch, G. Shelyapina M., B. Khar'Kov, I. Rykov, V. Osipov, E. Kurenkova, V. Ievlev A., N. Skryabina, Daniel Fruchart, Salvatore Miraglia, Patricia de Rango
- article
- Journal of Alloys and Compounds, 2010, 509S, pp.S804-S808. ⟨10.1016/j.jallcom.2010.10.195⟩
- Accès au bibtex
-
- titre
- Thermodynamic phase diagram of Fe(Se0.5Te0.5) single crystals in fields up to 28 tesla
- auteur
- Thierry Klein, D. Braithwaite, A. Demuer, William Knafo, G. Lapertot, C. Marcenat, Pierre Rodière, I. Sheikin, Pierre Strobel, André Sulpice, Pierre Toulemonde
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82, pp.184506. ⟨10.1103/PhysRevB.82.184506⟩
- Accès au texte intégral et bibtex
-
- titre
- A high efficiency superconducting nanowire single electron detector
- auteur
- Michael Rosticher, F.-R. Ladan, J.-P. Maneval, S.N. Dorenbos, T. Zijlstra, T. M. Klapwijk, V. Zwiller, Adrian Lupascu, Gilles Nogues
- article
- Applied Physics Letters, 2010, 97 (18), pp.183106. ⟨10.1063/1.3506692⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetism in DyNi1-xCuxAl pseudoternary series
- auteur
- J. Prchal, P. Javorsky, J.P. Vejpravova, Olivier Isnard, B. Detlefs, S. Danis, V. Sechovský
- article
- Intermetallics, 2010, 18, pp.2109-2118. ⟨10.1016/j.intermet.2010.06.019⟩
- Accès au bibtex
-
- titre
- Extreme dielectric strength in boron doped homoepitaxial diamond
- auteur
- Pierre-Nicolas Volpe, Pierre Muret, Julien Pernot, Franck Omnès, Tokuyuki Teraji, Yasuo Koide, François Jomard, Dominique Planson, Pierre Brosselard, Nicolas Dheilly, Bertrand Vergne, Sigo Scharnholz
- article
- Applied Physics Letters, 2010, 97 (22), pp.223501. ⟨10.1063/1.3520140⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetism and Magnetic Structure of NdCr2Si2C
- auteur
- Marcela Janatová, Jana Poltierová Vejpravová, Jan Prokleška, Vladimír Sechovský, Martin Diviš, Olivier Isnard
- article
- Journal of Physics: Conference Series, 2010, 251, pp.2018. ⟨10.1088/1742-6596/251/1/012018⟩
- Accès au bibtex
-
- titre
- Specific heat measurements and structural investigation of CeCu6 - xSnx compounds
- auteur
- Olivier Isnard, E. G. Moshopoulou, J. Prchal, P. Javorsky
- article
- Journal of Physics: Condensed Matter, 2010, 22, pp.5602. ⟨10.1088/0953-8984/22/43/435602⟩
- Accès au texte intégral et bibtex
-
- titre
- Field-induced magnetic ordering in ErNi1-xCuxAl
- auteur
- J. Prchal, M. Míšek, Olivier Isnard
- article
- Journal of Physics: Conference Series, 2010, 251, pp.2022. ⟨10.1088/1742-6596/251/1/012022⟩
- Accès au bibtex
-
- titre
- Hydrogen absorption and desorption characteristics of high coercivity NdDyFeCoNbCuB sintered magnets. I. Low temperature hydrogen decrepitation treatements.
- auteur
- Jianjun Luo, Patricia de Rango, Daniel Fruchart, J.N. Mei, L. Zhou
- article
- Journal of Alloys and Compounds, 2010, 509, pp.4252-4259. ⟨10.1016/j.jallcom.2010.10.093⟩
- Accès au bibtex
-
- titre
- Blocking phonons via nanoscale geometrical design
- auteur
- Jean-Savin Heron, Chandan Bera, Thierry Fournier, N. Mingo, Olivier Bourgeois
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (15), pp.155458. ⟨10.1103/PhysRevB.82.155458⟩
- Accès au bibtex
-
- titre
- Inelastic X-ray scattering investigations of lattice dynamics in SmFeAsO1−xFysuperconductors
- auteur
- Mathieu Le Tacon, T.R. Forrest, Ch. Rüegg, A. Bosak, J. Noffsinger, A.C. Walters, Pierre Toulemonde, A. Palenzona, N.D. Zhigadlo, J. Karpinski, J.P. Hill, M. Krisch, D.F. Mcmorrow
- article
- Journal of Physics and Chemistry of Solids, 2010, 72, pp.523. ⟨10.1016/j.jpcs.2010.10.006⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic and structural behaviour of Nd2Fe14B/alpha-Fe and (NdDy)(2)Fe14B/alpha-Fe obtained by mechanical milling and annealing
- auteur
- S. Gutoiu, E. Dorolti, Olivier Isnard, Ionel Chicinas, V. Pop
- article
- Journal of Optoelectronics and Advanced Materials, 2010, 12, pp.2126-2131
- Accès au bibtex
-
- titre
- Enhancing magnetic properties of anisotropic NdDyFeCoNbCuB powder by applying magnetic field at high temperature during hydrogen desorption
- auteur
- Jianjun Luo, Patricia de Rango, Daniel Fruchart, Jinna Mei, Rui Hu, Jinshan Li, Lian Zhou
- article
- Rare Metals, 2010, 29, pp.480-485. ⟨10.1007/s12598-010-0153-9⟩
- Accès au bibtex
-
- titre
- Subkelvin tunneling spectroscopy showing Bardeen-Cooper-Schrieffer superconductivity in heavily boron-doped silicon epilayers
- auteur
- Franck Dahlem, Thierry Kociniewski, C. Marcenat, Audrey Grockowiak, Laëtitia Pascal, Philipp Achatz, J. Boulmer, D. Debarre, Thierry Klein, Etienne Bustarret, Hervé Courtois
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (14), pp.140505. ⟨10.1103/PhysRevB.82.140505⟩
- Accès au bibtex
-
- titre
- Lu5Ir4Si10 whiskers: Morphology, crystal structure, superconducting and charge density wave transition studies
- auteur
- Christine Opagiste, Maxime Leroux, Pierre Rodiere, G. Garbarino, Sébastien Pairis, Pierre Bordet, Pascal Lejay
- article
- Journal of Crystal Growth, 2010, 312, pp.3204-3208. ⟨10.1016/J.JCRYSGRO.2010.07.037⟩
- Accès au texte intégral et bibtex
-
- titre
- Subnanosecond delay of light in CdxZn1-xTe crystals
- auteur
- T. Godde, I.A. Akimov, D.R. Yakovlev, Henri Mariette, M. Bayer
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (11), pp.115332. ⟨10.1103/PhysRevB.82.115332⟩
- Accès au bibtex
-
- titre
- Strong Coupling of a Spin Ensemble to a Superconducting Resonator
- auteur
- Y. Kubo, F.R. Ong, Patrice Bertet, Denis Vion, V. Jacques, D. Zheng, A. Dréau, Jean-François Roch, Alexia Auffèves, Fedor Jelezko, Joerg Wrachtrup, M.F. Barthe, P. Bergonzo, Daniel Esteve
- article
- Physical Review Letters, 2010, 105 (14), pp.140502. ⟨10.1103/PhysRevLett.105.140502⟩
- Accès au bibtex
-
- titre
- A single-step electron beam lithography of buried nanostructures using cathodoluminescence imaging and low temperature
- auteur
- Fabrice Donatini, Daniel Le Si Dang
- article
- Nanotechnology, 2010, 21 (37), pp.375303. ⟨10.1088/0957-4484/21/37/375303⟩
- Accès au bibtex
-
- titre
- Coherent Oscillations in an Exciton-Polariton Josephson Junction
- auteur
- K. G. Lagoudakis, B. Pietka, M. Wouters, Régis André, Benoit Deveaud-Plédran
- article
- Physical Review Letters, 2010, 105 (12), pp.120403. ⟨10.1103/PhysRevLett.105.120403⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal Structures of the Europium and Yttrium Hydroxychromate: Eu(OH)(CrO4) and Y(OH)(CrO4). Structural evolution as a function of the Ln3+ ionic radius.
- auteur
- Isabelle Gautier-Luneau, Delphine Phanon
- article
- Journal of Inorganic and General Chemistry / Zeitschrift für anorganische und allgemeine Chemie, 2010, 636 (15), pp.2579. ⟨10.1002/zaac.201000261⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanosciences and nanotechnologies learning and teaching in secondary education: A review of literature
- auteur
- Bénédicte Hingant, Virginie Albe
- article
- Studies in Science Education, 2010, 46 (42), pp.121-152. ⟨10.1080/03057267.2010.504543⟩
- Accès au texte intégral et bibtex
-
- titre
- Simulations of carrier confinement in boron delta-doped diamond devices
- auteur
- Alexandre Fiori, Julien Pernot, Etienne Gheeraert, Etienne Bustarret
- article
- physica status solidi (a), 2010, 207 (9), pp.2084-2087. ⟨10.1002/pssa.201000062⟩
- Accès au bibtex
-
- titre
- High breakdown voltage Schottky diodes synthesized on p-type CVD diamond layer
- auteur
- Pierre-Nicolas Volpe, Pierre Muret, Julien Pernot, Franck Omnès, Tokuyuki Teraji, François Jomard, Dominique Planson, Pierre Brosselard, Nicolas Dheilly, Bertrand Vergne, Sigo Scharnholtz
- article
- physica status solidi (a), 2010, 207 (9), pp.2088-2092. ⟨10.1002/pssa.201000055⟩
- Accès au bibtex
-
- titre
- Comparison of the crystalline quality of homoepitaxially grown CVD diamond layer on cleaved and polished substrates
- auteur
- D. Araujo, Etienne Bustarret, Antonella Tajani, Philipp Achatz, M. Gutierrez, A. J. Garcia, M. P. Villar
- article
- physica status solidi (a), 2010, 207 (9), pp.2023-2028. ⟨10.1002/pssa.201000141⟩
- Accès au bibtex
-
- titre
- Pressure effect on magnetic properties of GdCo2Fe2B
- auteur
- Z. Arnold, Olivier Isnard, Hervé Mayot, Y. Skorokhod, J. Kamarád
- article
- Solid State Communications, 2010, 150, pp.1614-1616. ⟨10.1016/J.SSC.2010.06.043⟩
- Accès au bibtex
-
- titre
- Doping of single crystalline diamond with nickel
- auteur
- M. Wolfer, H. Obloh, O. A. Williams, C.-C. Leancu, L. Kirste, Etienne Gheeraert, C. E. Nebel
- article
- physica status solidi (a), 2010, 207 (9), pp.2054-2057. ⟨10.1002/pssa.201000364⟩
- Accès au bibtex
-
- titre
- Nanocrystalline boron-doped diamond films, a mixture of BCS-like and non-BCS-like superconducting grains
- auteur
- Franck Dahlem, Philipp Achatz, O. A. Williams, D. Araujo, Hervé Courtois, Etienne Bustarret
- article
- physica status solidi (a), 2010, 207 (9), pp.2064-2068. ⟨10.1002/pssa.201000013⟩
- Accès au bibtex
-
- titre
- Detailed study of superconductivity in nanostructured nanocrystalline boron doped diamond thin films
- auteur
- Soumen Mandal, Cécile Naud, O. A. Williams, Etienne Bustarret, Franck Omnès, Pierre Rodiere, Tristan Meunier, Laurent Saminadayar, Christopher Bäuerle
- article
- physica status solidi (a), 2010, 207 (9), pp.2017-2022. ⟨10.1002/pssa.201000008⟩
- Accès au bibtex
-
- titre
- Bottom-up fabrication of diamond nanowire arrays
- auteur
- Wiebke Janssen, Sebastian Faby, Etienne Gheeraert
- article
- Diamond and Related Materials, 2010, 20 (5-6), pp.779-781. ⟨10.1016/j.diamond.2011.03.024⟩
- Accès au bibtex
-
- titre
- Rotation of the absorption frame as a function of the electronic transition in the Nd3+:YCa4O(BO3)(3) monoclinic crystal
- auteur
- Simon Joly, Patricia Segonds, Benoit Boulanger, Yannick Petit, Alexandra Pena, Corinne Felix, Bertrand Ménaert
- article
- Optics Express, 2010, 18 (18), pp.19169-19174. ⟨10.1364/OE.18.019169⟩
- Accès au bibtex
-
- titre
- High magnetic field study of the anisotropy and neutron diffraction investigation of the crystal and magnetic structure of YCo4.5Ge0.5
- auteur
- Claire Colin, Olivier Isnard, M. Guillot
- article
- Journal of Alloys and Compounds, 2010, 505, pp.11-15. ⟨10.1016/j.jallcom.2010.06.025⟩
- Accès au bibtex
-
- titre
- Momentum Distribution and Renormalization Factor in Sodium and the Electron Gas
- auteur
- Simo Huotari, J. Aleksi Soininen, Tuomas Pylkkänen, Keijo Hämäläinen, Arezki Issolah, Andrey Titov, Jeremy Mcminis, Jeongnim Kim, Ken Esler, David M. Ceperley, Markus Holzmann, Valerio Olevano
- article
- Physical Review Letters, 2010, 105 (8), pp.086403. ⟨10.1103/PhysRevLett.105.086403⟩
- Accès au bibtex
-
- titre
- An in situ XAS study of copper(I) transport as hydrosulfide complexes in hydrothermal solutions (25-592 degrees C, 180-600 bar): Speciation and solubility in vapor and liquid phases
- auteur
- Barbara Etschmann, Weihua Liu, Denis Testemale, Harald Mueller, Nick Rae, Olivier Proux, Jean-Louis Hazemann, Joel Brugger
- article
- Geochimica et Cosmochimica Acta, 2010, 74 (16), pp.4723-4739. ⟨10.1016/j.gca.2010.05.013⟩
- Accès au bibtex
-
- titre
- Collective nature of two-dimensional electron gas spin excitations revealed by exchange interaction with magnetic ions
- auteur
- Philippe Barate, Steeve Cronenberger, Masha Vladimirova, Denis Scalbert, Florent Perez, J. Gomez, Bernard Jusserand, Hervé Boukari, David Ferrand, Henri Mariette, Joel Cibert, Michal Nawrocki
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (7), pp.075306. ⟨10.1103/PhysRevB.82.075306⟩
- Accès au bibtex
-
- titre
- Fayalite (Fe2SiO4) dissolution kinetics determined by X-ray absorption spectroscopy
- auteur
- Damien Daval, Denis Testemale, Nadir Recham, Jean‐marie Tarascon, Julien Siebert, Isabelle Martinez, François Guyot
- article
- Chemical Geology, 2010, 275 (3-4), pp.161-175. ⟨10.1016/j.chemgeo.2010.05.005⟩
- Accès au bibtex
-
- titre
- Spatially-Correlated Microstructure and Superconductivity in Polycrystalline Boron-Doped Diamond
- auteur
- Franck Dahlem, Philipp Achatz, O. A. Williams, D. Araujo, Etienne Bustarret, Hervé Courtois
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82, pp.033306. ⟨10.1103/PhysRevB.82.033306⟩
- Accès au texte intégral et bibtex
-
- titre
- Microscope spectrometer for light scattering investigations
- auteur
- Aude Barbara, Tomas Lopez-Rios, Sylvain Dumont, Frédéric Gay, Pascal Quemerais
- article
- Applied optics, 2010, 49 (22), pp.4193-4201. ⟨10.1364/AO.49.004193⟩
- Accès au bibtex
-
- titre
- Signatures of multigap superconductivity in tunneling spectroscopy
- auteur
- Yves Noat, Tristan Cren, François Debontridder, Dimitri Roditchev, W. Sacks, Pierre Toulemonde, Alfonso San Miguel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82, pp.014531. ⟨10.1103/PhysRevB.82.014531⟩
- Accès au bibtex
-
- titre
- Subnanosecond spectral diffusion measurement using photon correlation
- auteur
- Gregory Sallen, Adrien Tribu, Thomas Aichele, Régis André, Lucien Besombes, Catherine Bougerol, Maxime Richard, Serge Tatarenko, Kuntheak Kheng, Jean-Philippe Poizat
- article
- Nature Photonics, 2010, 4, pp.696. ⟨10.1038/nphoton.2010.174⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural changes and thermal properties of aluminium micro- and nano-powders
- auteur
- B. Rufino, M.-V. Coulet, Olivier Isnard, Renaud Bouchet, Renaud Denoyel
- article
- Acta Materialia, 2010, 58, pp.4224-4232. ⟨10.1016/j.actamat.2010.04.014⟩
- Accès au bibtex
-
- titre
- Isotopic substitution of boron and carbon in superconducting diamond epilayers grown by MPCVD
- auteur
- Philipp Achatz, Franck Omnès, Luc Ortega, C. Marcenat, J. Vacik, V. Hnatowicz, U. Koester, François Jomard, Etienne Bustarret
- article
- Diamond and Related Materials, 2010, 19 (7-9), pp.814-817. ⟨10.1016/j.diamond.2010.01.052⟩
- Accès au bibtex
-
- titre
- Interplay between low dimensionality and magnetic frustration in the magnetoelectric pyroxenes LiCrX2O6 (X=Ge,Si)
- auteur
- Gwilherm Nénert, Masahiko Isobe, Ingyu Kim, Clemens Ritter, Claire Colin, Alexander N. Vasiliev, Kee Hoon Kim, Yutaka Ueda
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82, pp.024429. ⟨10.1103/PhysRevB.82.024429⟩
- Accès au bibtex
-
- titre
- Local boron doping quantification in homoepitaxial diamond structures
- auteur
- D. Araujo, Philipp Achatz, R. El Bouayadi, A. J. Garcia, M. P. Alegre, M. P. Villar, François Jomard, Etienne Bustarret
- article
- Diamond and Related Materials, 2010, 19 (7-9), pp.972-975. ⟨10.1016/j.diamond.2010.02.043⟩
- Accès au bibtex
-
- titre
- Hydrogen passivation of boron acceptors in as-grown boron-doped CVD diamond epilayers
- auteur
- C. Fernandez-Lorenzo, D. Araujo, J. Martin, R. Alcantara, J. Navas, M. P. Villar, M. P. Alegre, Pierre-Nicolas Volpe, Franck Omnès, Etienne Bustarret
- article
- Diamond and Related Materials, 2010, 19 (7-9), pp.904-907. ⟨10.1016/j.diamond.2010.02.030⟩
- Accès au bibtex
-
- titre
- Imaging Coulomb islands in a quantum Hall interferometer
- auteur
- B. Hackens, F. Martins, S. Faniel, C. A. Dutu, Hermann Sellier, Serge Huant, M. Pala, L. Desplanque, X. Wallart, Vincent Bayot
- article
- Nature Communications, 2010, 1, pp.39. ⟨10.1038/NCOMMS1038⟩
- Accès au texte intégral et bibtex
-
- titre
- Spinodal decomposition to control magnetotransport in (Ge,Mn) films
- auteur
- Ing-Song Yu, M. Jamet, T. Devillers, A. Barski, Pascale Bayle-Guillemaud, C. Beigné, J. Rothman, V. Baltz, Joel Cibert
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82, pp.35308. ⟨10.1103/PHYSREVB.82.035308⟩
- Accès au bibtex
-
- titre
- Plasmon dispersion diagram and localization effects in a three-cavity commensurate grating
- auteur
- Aude Barbara, Stéphane Collin, Christophe Sauvan, Jérôme Le Perchec, Camille Maxime, Jean-Luc Pelouard, Pascal Quemerais
- article
- Optics Express, 2010, 18 (14), pp.14913-14925. ⟨10.1364/OE.18.014913⟩
- Accès au texte intégral et bibtex
-
- titre
- Surface plasmon-mediated far-field emission of laser dye solutions
- auteur
- Carola Geiger, Jochen Fick
- article
- Optics Letters, 2010, 35, pp.2245. ⟨10.1364/OL.35.002245⟩
- Accès au bibtex
-
- titre
- Arsenic speciation in fluid inclusions using micro-beam X-ray absorption spectroscopy
- auteur
- Julianne James-Smith, J. Cauzid, Denis Testemale, Weihua Liu, Jean-Louis Hazemann, Olivier Proux, Barbara Etschmann, Pascal Philippot, David Banks, Patrick Williams, Joel Brugger
- article
- The American Mineralogist, 2010, 95 (7), pp.921-932. ⟨10.2138/am.2010.3411⟩
- Accès au bibtex
-
- titre
- Optical initialization, readout, and dynamics of a Mn spin in a quantum dot
- auteur
- Claire Le Gall, Roman Kolodka, Chong Long Cao, Hervé Boukari, Henri Mariette, J. Fernández-Rossier, Lucien Besombes
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81, pp.245315. ⟨10.1103/PhysRevB.81.245315⟩
- Accès au texte intégral et bibtex
-
- titre
- Controlling the dynamics of a coupled atom-cavity system by pure dephasing
- auteur
- Alexia Auffèves, Dario Gerace, Jean-Michel Gérard, M. F. Santos, L.C. Andreani, Jean-Philippe Poizat
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (24), pp.245419. ⟨10.1103/PhysRevB.81.245419⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis, Characterization, and Crystal Structure of a New Trisodium Triborate, Na 3 [B 3 O 4 (OH) 4 ]
- auteur
- J. Andrieux, Christelle Goutaudier, Laetitia Laversenne, Erwann Jeanneau, Philippe Miele
- article
- Inorganic Chemistry, 2010, 49 (11), pp.4830 - 4835. ⟨10.1021/ic902525s⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectral dependency of superconducting single photon detectors
- auteur
- Laurent Maingault, M. Tarkhov, I. Florya, A. Semenov, Roch Espiau de Lamaestre, Paul Cavalier, G. Gol'Tsman, Jean-Philippe Poizat, Jean-Claude Villegier
- article
- Journal of Applied Physics, 2010, 107, pp.116103. ⟨10.1063/1.3374636⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic properties of Fe2P-type R6CoTe2 compounds (R = Gd-Er)
- auteur
- A. V. Morozkin, Y. Mozharivskyj, Volodymyr Svitlyk, R. Nirmala, Olivier Isnard, Pietro Manfrinetti, A. Provino, Clemens Ritter
- article
- Journal of Solid State Chemistry, 2010, 163, pp.1314-1325. ⟨10.1016/j.jssc.2010.04.002⟩
- Accès au bibtex
-
- titre
- Spin properties of trions in a dense 2DEG
- auteur
- V. Kochereshko, Lucien Besombes, Henri Mariette, T. Wojtowicz, G. Karczewski, J. Kossut
- article
- physica status solidi (b), 2010, 247 (6), pp.1531-1534. ⟨10.1002/pssb.200983187⟩
- Accès au bibtex
-
- titre
- Se-Se isoelectronic centers in high purity CdTe
- auteur
- Rita Najjar, Andrzej Golnik, Régis André, Piotr Kossacki, Jan Gaj, Henri Mariette
- article
- physica status solidi (c), 2010, 7 (6), pp.1489-1491. ⟨10.1002/pssc.200983260⟩
- Accès au bibtex
-
- titre
- Excitons in motion in II-VI semiconductors
- auteur
- J.J. Davies, L.C. Smith, Daniel Wolverson, V. P. Kochereshko, Joel Cibert, Hervé Boukari, M. Wiater, Grzegorz Karczewski, Tomasz Wojtowicz, A. Gust, Carola Kruse, D. Hommel
- article
- physica status solidi (b), 2010, 247 (6), pp.1521-1527. ⟨10.1002/pssb.200983167⟩
- Accès au bibtex
-
- titre
- Deep hole traps in boron-doped diamond
- auteur
- Pierre Muret, Julien Pernot, Amit Kumar, Laurence Magaud
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (23), pp.235205. ⟨10.1103/PhysRevB.81.235205⟩
- Accès au bibtex
-
- titre
- Epitaxial growth of ZnSe and ZnSe/CdSe nanowires on ZnSe
- auteur
- E. Bellet-Amalric, Miryam Elouneg-Jamroz, C. Bougerol, M. den Hertog, Y. Genuist, S. Bounouar, J.-P. Poizat, K. Kheng, R. Andre, S. Tatarenko
- article
- physica status solidi (c), 2010, 7 (6), pp.1526-1529. ⟨10.1002/pssc.200983254⟩
- Accès au bibtex
-
- titre
- Surface-induced p-type conductivity in ZnO nanopillars investigated by scanning probe microscopy
- auteur
- E. Latu-Romain, P. Gilet, N. Chevalier, D. Mariolle, François Bertin, Guy Feuillet, Guillaume Perillat-Merceroz, Pierre Ferret, Pierre Muret, A. Chelnokov
- article
- Journal of Applied Physics, 2010, 107 (12), pp.124307. ⟨10.1063/1.3436596⟩
- Accès au bibtex
-
- titre
- Optical spin orientation of a single manganese atom
- auteur
- C. Le Gall, R. Kolodka, L. Besombes, H. Boukari, Joel Cibert, D. Ferrand, H. Mariette
- article
- physica status solidi (c), 2010, 7 (6), pp.1651-1654. ⟨10.1002/pssc.200983240⟩
- Accès au bibtex
-
- titre
- Fe-heme structure in Cu,Zn superoxide dismutase from Haemophilus ducreyi by X-ray Absorption Spectroscopy
- auteur
- Paola D’angelo, Andrea Zitolo, Francesca Pacello, Giordano Mancini, Olivier Proux, Jean-Louis F Hazemann, Alessandro Desideri, Andrea Battistoni
- article
- Archives of Biochemistry and Biophysics, 2010, 498 (1), pp.43-49. ⟨10.1016/j.abb.2010.03.010⟩
- Accès au bibtex
-
- titre
- Ni-Mn-Ga films in the austenite and the martensite structures at room temperature: Uniaxial texturation and epitaxial growth
- auteur
- Jérémy Tillier, Antinéa Einig, Daniel Bourgault, Philippe Odier, Luc Ortega, Sébastien Pairis, Laureline Porcar, Paul Chometon, Nathalie Caillault, Laurent Carbone
- article
- Materials Research Society Symposia Proceedings, 2010, 1200E, pp.G08-03. ⟨10.1557/PROC-1200-G08-03⟩
- Accès au texte intégral et bibtex
-
- titre
- Excitonic polaritons in transverse magnetic fields
- auteur
- D. K. Loginov, V.P. Kochereshko, R.T. Cox, Lucien Besombes, J.J. Davies, Daniel Wolverson, L. C. Smith
- article
- physica status solidi (b), 2010, 247 (6), pp.1528-1530. ⟨10.1002/pssb.200983188⟩
- Accès au bibtex
-
- titre
- Spin-Flop Transition and Magnetocaloric Effect through Disconnected Magnetic Blocks in Co$^{III}$ /Co$^{IV}$ Oxybromides
- auteur
- Olivier Toulemonde, Pascal Roussel, Olivier Isnard, G. Andre, Olivier Mentre
- article
- Chemistry of Materials, 2010, 22 (12), pp.3807-3816. ⟨10.1021/cm100571v⟩
- Accès au texte intégral et bibtex
-
- titre
- The magnetic ordering in the Ho6FeTe2 compound
- auteur
- A. V. Morozkin, Olivier Isnard, Pietro Manfrinetti, A. Provino, Clemens Ritter, R. Nirmala, S.K Malik
- article
- Journal of Alloys and Compounds, 2010, 498, pp.13-18. ⟨10.1016/j.jallcom.2010.03.120⟩
- Accès au bibtex
-
- titre
- A scheme for solving the plane-plane challenge in force measurements at the nanoscale
- auteur
- Alessandro Siria, Serge Huant, G. Auvert, Fabio Comin, Joël Chevrier
- article
- Nanoscale Research Letters, 2010, 5, pp.1360. ⟨10.1007/s11671-010-9633-y⟩
- Accès au bibtex
-
- titre
- Near-field scanning single-photon microscopy with an ultrasmall nanodiamond: how good can the resolution be?
- auteur
- Aurelien Drezet, Aurelien Cuche, Oriane Mollet, Serge Huant
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2010, 7712, pp.77120Z. ⟨10.1117/12.853658⟩
- Accès au bibtex
-
- titre
- Magnetic and crystal structures of BiCrO3
- auteur
- Céline Darie, Céline Goujon, Holger Klein, Maria Bacia, Pierre Toulemonde, Pierre Bordet, Emmanuelle Suard
- article
- Solid State Sciences, 2010, 12 (5), pp.660. ⟨10.1016/j.solidstatesciences.2008.12.004⟩
- Accès au bibtex
-
- titre
- Hall hole mobility in boron-doped homoepitaxial diamond
- auteur
- Julien Pernot, Pierre-Nicolas Volpe, Franck Omnès, Pierre Muret, Vincent Mortet, Ken Haenen, Tokuyuki Teraji
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (20), pp.205203. ⟨10.1103/PhysRevB.81.205203⟩
- Accès au bibtex
-
- titre
- On the pressure and temperature dependence of ordering temperature and magnetization of Y1-xThxCo4B compounds
- auteur
- Hervé Mayot, Olivier Isnard, Z. Arnold, J. Kamarad
- article
- Journal of Applied Physics, 2010, 107, pp.3921. ⟨10.1063/1.3418445⟩
- Accès au texte intégral et bibtex
-
- titre
- Electronic structure and magnetic properties of RCo 5- xM x (R=Y, Pr and M=Al, Si) system
- auteur
- Diana Benea, Olivier Isnard, Natalia Coroian, Viorel Pop
- article
- Journal of Magnetism and Magnetic Materials, 2010, 322, pp.1052-1055. ⟨10.1016/J.JMMM.2009.11.032⟩
- Accès au bibtex
-
- titre
- Structural and magnetic properties of ErCo4.5Ge0.5 intermetallic compound
- auteur
- Claire Colin, Olivier Isnard
- article
- Journal of Magnetism and Magnetic Materials, 2010, 322, pp.1150-1152. ⟨10.1016/J.JMMM.2009.06.047⟩
- Accès au bibtex
-
- titre
- Comparison of the XPS spectra from homoepitaxial {111}, {100} and polycrystalline boron-doped diamond films
- auteur
- Slimane Ghodbane, Dominique Ballutaud, Franck Omnès, Charles Agnès
- article
- Diamond and Related Materials, 2010, 19 (5-6), pp.630-636. ⟨10.1016/j.diamond.2010.01.014⟩
- Accès au bibtex
-
- titre
- Synthesis of nanocrystalline Supermalloy powders by mechanical alloying: A thermomagnetic analysis
- auteur
- Florin Popa, Olivier Isnard, Ionel Chicinas, Viorel Pop
- article
- Journal of Magnetism and Magnetic Materials, 2010, 322, pp.1548-1551. ⟨10.1016/J.JMMM.2009.06.006⟩
- Accès au bibtex
-
- titre
- Pressure effect on magnetic properties of RCo 12B 6 (R=Y, Ce) compounds
- auteur
- Z. Arnold, Olivier Isnard, Hervé Mayot, M. Míšek, J. Kamarád
- article
- Journal of Magnetism and Magnetic Materials, 2010, 322, pp.1117-1119. ⟨10.1016/J.JMMM.2009.09.016⟩
- Accès au bibtex
-
- titre
- Quantum transport through resistive nanocontacts: Effective one-dimensional theory and conductance formulas for nonballistic leads
- auteur
- Pierre Darancet, Valerio Olevano, Didier Mayou
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (15), pp.155422. ⟨10.1103/PhysRevB.81.155422⟩
- Accès au bibtex
-
- titre
- Temperature-dependent photoemission on 1T-TiSe2: Interpretation within the exciton condensate phase model
- auteur
- Claude Monney, Eike Schwier, Michael Gunnar Garnier, Clement Didiot, Hans Beck, Philipp Aebi, Hervé Cercellier, Jacques Marcus, Corsin Battaglia, Helmut Berger, A.N. Titov
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (15), pp.155104. ⟨10.1103/PhysRevB.81.155104⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamical equilibrium between magnetic ions and photocarriers in low Mn-doped single quantum dots
- auteur
- Thomas Clement, David Ferrand, Lucien Besombes, Hervé Boukari, Henri Mariette
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (15), pp.155328. ⟨10.1103/PhysRevB.81.155328⟩
- Accès au bibtex
-
- titre
- A complementary set of electrochemical and X-ray synchrotron techniques to determine the passivation mechanism of iron treated in a new corrosion inhibitor solution specifically developed for the preservation of metallic artefacts
- auteur
- François Mirambet, Solenn Reguer, Rocca Emmanuel, Hollner Stéphanie, Denis Testemale
- article
- Applied physics. A, Materials science & processing, 2010, 99 (2), pp.341-349. ⟨10.1007/s00339-010-5674-4⟩
- Accès au bibtex
-
- titre
- Selective chemical sensors based on fluorescent organic nanocrystals confined in sol-gel coatings of controlled porosity
- auteur
- Virginie Monnier, Emilie Dubuisson, Nathalie Sanz-Menez, Bruno Boury, V. Rouessac, André Ayral, Robert Bernard Pansu, Alain Ibanez
- article
- Microporous and Mesoporous Materials, 2010, 132, pp.531. ⟨10.1016/j.micromeso.2010.04.004⟩
- Accès au bibtex
-
- titre
- Magnetic ordering of the R4Sb3 compounds (R = Pr, Nd, Sm) and of Pr2Nd2Sb3
- auteur
- Clemens Ritter, A. V. Morozkin, K.S. Oskolkov, R. Nirmala, Olivier Isnard, Pietro Manfrinetti
- article
- Journal of Alloys and Compounds, 2010, 494, pp.28-33. ⟨10.1016/j.jallcom.2010.01.028⟩
- Accès au bibtex
-
- titre
- Perturbed angular correlations investigations on YMnO3 multiferroic manganite
- auteur
- Tania Mendonca, A.M.L. Lopes, J.N. Goncalves, J.G. Correia, P. B. Tavares, V. S. Amaral, Céline Darie, J.P. Araujo
- article
- Hyperfine Interactions, 2010, 197 (1-3), pp.83. ⟨10.1007/s10751-010-0233-0⟩
- Accès au bibtex
-
- titre
- Effect of the M/Co substitution on magnetocrystalline anisotropy and magnetization in SmCo5− xMx compounds (M= Ga; Al)
- auteur
- Ancuta Laslo, Claire Colin, Olivier Isnard, M. Guillot
- article
- Journal of Applied Physics, 2010, 107, pp.09A732. ⟨10.1063/1.3339776⟩
- Accès au bibtex
-
- titre
- A cathodoluminescence study of boron doped {111}-homoepitaxial diamond films
- auteur
- Slimane Ghodbane, Franck Omnès, Charles Agnès
- article
- Diamond and Related Materials, 2010, 19 (4), pp.273-278. ⟨10.1016/j.diamond.2009.11.003⟩
- Accès au bibtex
-
- titre
- Broad emission band of Yb3+ in the nonlinear Nb:RbTiOPO4 crystal: origin and applications
- auteur
- J.J. Carvajal, Gianluca Ciatto, X. Mateos, A. Schmidt, U. Griebner, V. Petrov, G. Boulon, Alain Brenier, Alexandra Pena, M. C. Pujol, M. Aguilò, F. Diaz
- article
- Optics Express, 2010, 18 (7), pp.7228-7242. ⟨10.1364/OE.18.007228⟩
- Accès au bibtex
-
- titre
- Two energy gaps in the tunneling-conductance spectra of the superconducting clathrate Ba8Si46
- auteur
- Yves Noat, Tristan Cren, Pierre Toulemonde, Alfonso San Miguel, François Debontridder, Vincent Dubost, Dimitri Roditchev
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81, pp.104522. ⟨10.1103/PhysRevB.81.104522⟩
- Accès au bibtex
-
- titre
- Fluorescent nanocrystals grown in sol-gel thin films for generic stable and sensitive sensors
- auteur
- Emilie Dubuisson, Robert Bernard Pansu, Alain Ibanez
- article
- Journal of Sol-Gel Science and Technology, 2010, 57 (3), pp.258-262. ⟨10.1007/s10971-010-2176-y⟩
- Accès au bibtex
-
- titre
- Synthesis and Crystal Structure of Tris(2-amino-indolinium)- hexachlorobismuthate(III)monohydrate
- auteur
- Ikram Baccar, Fatma Zouari, Nassira Boudjada, Pierre Bordet
- article
- X-Ray Structure Analysis Online, 2010, 26, pp.15-16. ⟨10.2116/xraystruct.26.15⟩
- Accès au bibtex
-
- titre
- Laser-induced direct space-selective precipitation of CdS nanoparticles embedded in a transparent silica xerogel
- auteur
- Hicham El Hamzaoui, Remy Bernard, A. Chahadih, Fernand Chassagneux, Laurence Bois, David Jegouso, Laurent Hay, Bruno Capoen, Mohamed Bouazaoui
- article
- Nanotechnology, 2010, 21 (13), pp.13402. ⟨10.1088/0957-4484/21/13/134002⟩
- Accès au bibtex
-
- titre
- Magnetic phase diagram of the S=1/2 triangular layered compound NaNiO2: a single crystal study
- auteur
- Sophie de Brion, Markus Bonda, Céline Darie, Pierre Bordet, Ilya Sheikin
- article
- Journal of Physics: Condensed Matter, 2010, 22 (12), pp.126001. ⟨10.1088/0953-8984/22/12/126001⟩
- Accès au texte intégral et bibtex
-
- titre
- Room temperature direct space-selective growth of gold nanoparticles inside a silica matrix based on a femtosecond laser irradiation
- auteur
- Hicham El Hamzaoui, Remy Bernard, A. Chahadih, Fernand Chassagneux, Laurence Bois, David Jegouso, Laurent Hay, Bruno Capoen, Mohamed Bouazaoui
- article
- Materials Letters, 2010, 64 (11), pp.1279. ⟨10.1016/j.matlet.2010.03.008⟩
- Accès au bibtex
-
- titre
- A structural investigation of SmCo5/Fe nanostructured alloys obtained by high-energy ball milling and subsequent annealing
- auteur
- Jean Marie Le Breton, Rodrigue Lardé, H. Chiron, V. Pop, Dominique Givord, Olivier Isnard, I. Chicinas
- article
- Journal of Physics D: Applied Physics, 2010, 43 (8), pp.5001. ⟨10.1088/0022-3727/43/8/085001⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystallographic, magnetic and electrical characteristics of some R5−xNi12Sn24+x intermetallics
- auteur
- V.V. Romaka, E.K. Hlil, L. Romaka, D. Gignoux, D. Fruchart, A. Horyn, S. Miraglia
- article
- Journal of Alloys and Compounds, 2010, 493 (1-2), pp.35-40. ⟨10.1016/j.jallcom.2009.12.074⟩
- Accès au bibtex
-
- titre
- CopK from Cupriavidus metallidurans CH34 Binds Cu(I) in a Tetrathioether Site: Characterization by X-ray Absorption and NMR Spectroscopy
- auteur
- Géraldine Sarret, Adrien Favier, Jacques Covès, Jean-Louis Hazemann, M. Mergeay, Beate Bersch
- article
- Journal of the American Chemical Society, 2010, 132 (11), pp.3770-3777. ⟨10.1021/ja9083896⟩
- Accès au bibtex
-
- titre
- Non-metal-to-metal transition driven by van der Waals forces in an interacting polaronic gas
- auteur
- Gennady N. Chuev, Pascal Quemerais
- article
- New Journal of Physics, 2010, 12, pp.023030. ⟨10.1088/1367-2630/12/2/023030⟩
- Accès au bibtex
-
- titre
- Fluorescent organic nanocrystal confined in sol–gel matrix for bio-imaging
- auteur
- Cécile Philippot, Fabien Dubois, Maria Bacia, Elisabeth Djurado, Alain Ibanez
- article
- Journal of Sol-Gel Science and Technology, 2010, 57 (3), pp.253-257. ⟨10.1007/s10971-010-2175-z⟩
- Accès au bibtex
-
- titre
- Magnetic structure of the quasi-two-dimensional compound CoTa2O6
- auteur
- E.J. Kinast, C.A. dos Santos, D. Schmitt, Olivier Isnard, M.A. Gusmao, J.M.B. da Cunha
- article
- Journal of Alloys and Compounds, 2010, 491 (1-2), pp.41-44. ⟨10.1016/j.jallcom.2009.10.241|⟩
- Accès au bibtex
-
- titre
- Ground State of the Easy-Axis Rare-Earth Kagome Langasite Pr3Ga5SiO14
- auteur
- Andrej Zorko, Fabrice Bert, Philippe Mendels, Karol Marty, Pierre Bordet
- article
- Physical Review Letters, 2010, 104 (5), pp.057202. ⟨10.1103/PhysRevLett.104.057202⟩
- Accès au bibtex
-
- titre
- Localization of Dirac Electrons in Rotated Graphene Bilayers
- auteur
- Guy Trambly de Laissardière, Didier Mayou, Laurence Magaud
- article
- Nano Letters, 2010, 10 (3), pp.804-808. ⟨10.1021/nl902948m⟩
- Accès au texte intégral et bibtex
-
- titre
- Critical phenomena and femtosecond ordering dynamics associated with electronic and spin-ordered phases in YVO 3 and GdVO 3
- auteur
- R. V. Yusupov, Dragan Mihailovic, Claire Colin, G.R. Blake, Thomas T. M. Palstra
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81, pp.075103. ⟨10.1103/PhysRevB.81.075103⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic and dielectric properties in the langasite-type compounds : A3BFe3D2O14 with A=Ba, Sr, Ca, B=Ta, Nb, Sb and D=Ge, Si
- auteur
- Karol Marty, Pierre Bordet, Virginie Simonet, Mickael Loire, Rafik Ballou, Céline Darie, Jakob Kljun, Pierre Bonville, Olivier Isnard, Pascal Lejay, Bartosz Zawilski, Charles Simon
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (5), pp.054416. ⟨10.1103/PHYSREVB.81.054416⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure solution of the new titanate Li4Ti8Ni3O21 using precession electron diffraction
- auteur
- Mauro Gemmi, Holger Klein, Amélie Rageau, Pierre Strobel, Frédéric Le Cras
- article
- Acta Crystallographica Section B : Structural Science [1968-2013], 2010, 66 (1), pp.60-68. ⟨10.1107/S010876810904631X⟩
- Accès au bibtex
-
- titre
- Influence of Wet-Milling Process on Magnetic Properties of Supermalloy Magnetic Nanocrystalline Powders
- auteur
- Bogdan Neamtu, Olivier Isnard, Ionel Chicinas, Viorel Pop
- article
- IEEE Transactions on Magnetics, 2010, 46, pp.424-427. ⟨10.1109/TMAG.2009.2034330⟩
- Accès au bibtex
-
- titre
- Unusual proximal heme pocket geometry in the deoxygenated Thermobifida fusca: A combined spectroscopic investigation
- auteur
- Alessandro Arcovito, Alessandra Bonamore, Jean Louis Hazemann, Alberto Boffi, Paola d'Angelo
- article
- Biophysical Chemistry, 2010, 147 (1-2), pp.1-7. ⟨10.1016/j.bpc.2009.11.006⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence for Conformational Changes upon Copper Binding to Cupriavidus metallidurans CzcE
- auteur
- Isabelle Petit-Härtlein, Eric Girard, Geraldine Sarret, Jean-Louis Hazemann, Patrick Gourhant, Richard Kahn, Jacques Covès
- article
- Biochemistry, 2010, 49, pp.1913-1922. ⟨10.1021/bi100001z⟩
- Accès au bibtex
-
- titre
- Structural and magnetic properties of new rare-earth - antimony pyrochlore-type oxides Ln2BSbO7 (B = Sc, Ga, In)
- auteur
- Pierre Strobel, Siwar Zouari, Rafik Ballou, Abdelwaheb Cheikh-Rouhou, Jean-Claude Jumas, Josette Olivier-Fourcade
- article
- Solid State Sciences, 2010, 12, pp.570-577. ⟨10.1016/j.solidstatesciences.2010.01.007⟩
- Accès au bibtex
-
- titre
- Self-assembled growth of catalyst-free GaN wires by metal-organic vapour phase epitaxy
- auteur
- R. Koester, Jun-Seok Hwang, C. Durand, Daniel Le-Si Dang, J. Eymery
- article
- Nanotechnology, 2010, 21 (1), pp.015602. ⟨10.1088/0957-4484/21/1/015602⟩
- Accès au bibtex
-
- titre
- Structural and magnetic behaviour of SmCo(4)/alpha-Fe nanocomposites obtained by mechanical milling and subsequent annealing
- auteur
- Viorel Pop, E. Dorolti, Cristian Vaju, Eric Gautron, Olivier Isnard, Jean Marie Le Breton, Ionel Chicinas
- article
- Romanian Journal of Physics, 2010, 55, pp.127-136
- Accès au bibtex
-
- titre
- A new Al-rich hydroxylian pseudorutile from Kalimantan, Indonesia
- auteur
- Ian E. Grey, Pierre Bordet, Nicholas C. Wilson, Raimunda Townend, Thimothy J. Bastow, Michela Brunelli
- article
- The American Mineralogist, 2010, 95 (1), pp.161. ⟨10.2138/am.2010.3330⟩
- Accès au bibtex
-
- titre
- Optical Control of a Mn spin embedded in a quantum dot
- auteur
- Roman Kolodka, L. Besombes, Claire Le Gall, Hervé Boukari, Joel Cibert, H. Mariette
- article
- Journal of Physics: Conference Series, 2010, 210, pp.012038. ⟨10.1088/1742-6596/210/1/012038⟩
- Accès au bibtex
-
- titre
- Speciation of Cd and Pb in dust emitted from sinter plant
- auteur
- M. L. Sammut, Y. Noack, Jérôme Rose, J. L. Hazemann, O. Proux, M. Depoux, A. Ziebel, E. Fiani
- article
- Chemosphere, 2010, 78 (4), pp.445-450. ⟨10.1016/j.chemosphere.2009.10.039⟩
- Accès au bibtex
-
- titre
- Non-collinear antiferromagnetic structure in PrCuAl
- auteur
- P. Javorský, J. Kaštil, Olivier Isnard
- article
- Journal of Physics: Conference Series, 2010, 200, pp.2027. ⟨10.1088/1742-6596/200/3/032027⟩
- Accès au bibtex
-
- titre
- Crystal structure, corrosion kinetics of new zirconium alloys and residual stress analysis of oxide films
- auteur
- H.X. Zhang, D. Fruchart, E.K. Hlil, L. Ortega, Z.K. Li, J.J. Zhang, J. Sun, L. Zhou
- article
- Journal of Nuclear Materials, 2010, 396 (1), pp.65-70. ⟨10.1016/j.jnucmat.2009.10.055⟩
- Accès au bibtex
-
- titre
- Low-temperature transition to a superconducting phase in boron-doped silicon films grown on (001)-oriented silicon wafers
- auteur
- C. Marcenat, Jozef Kacmarcik, R. Piquerel, Philipp Achatz, G. Prudon, C. Dubois, Brice Gautier, J.C. Dupuy, Etienne Bustarret, Luc Ortega, Thierry Klein, J. Boulmer, T. Kociniewski, D. Débarre
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (2), pp.020501. ⟨10.1103/PhysRevB.81.020501⟩
- Accès au bibtex
-
- titre
- Association of indigo with zeolites for improved colour stabilization
- auteur
- Catherine Dejoie, Pauline Martinetto, Eric Dooryhee, Elsa van Eslande, Sylvie Blanc, Patrice Bordat, Ross Brown, Florence Porcher, Michel Anne
- article
- Applied Spectroscopy, 2010, 64 (10), pp.1131-1138. ⟨10.1366/000370210792973622⟩
- Accès au texte intégral et bibtex
-
- titre
- Protein tyrosine phosphatases are regulated by mononuclear iron dicitrate.
- auteur
- Gomez Adelaida Maria, Laleh Alisaraie, Marina T. Shio, Berghuis M. Albert, Colette Brun, Isabelle Gautier-Luneau, Martin Olivier
- article
- Journal of Biological Chemistry, 2010, 685, pp.24620-24628. ⟨10.1074/jbc.M110.107037⟩
- Accès au bibtex
-
- titre
- Disorder effects in pnictides: a tunneling spectroscopy study
- auteur
- Yves Noat, Tristan Cren, Vincent Dubost, S. Lange, François Debontridder, D. Roditchev, Jacques Marcus, Pierre Toulemonde, W. Sacks, André Sulpice
- article
- Journal of Physics: Condensed Matter, 2010, 22 (46), pp.465701. ⟨10.1088/0953-8984/22/46/465701⟩
- Accès au bibtex
-
- titre
- Structural and magnetic behaviour of SmCo4/alpha-Fe nanocomposites obtained by mechanical milling and subsequent annealing
- auteur
- V. Pop, E. Dorolti, C. Vaju, E. Gautron, Olivier Isnard, J.M. Le Breton, I. Chicinas
- article
- Romanian Journal of Physics, 2010, 55, pp.127
- Accès au bibtex
-
- titre
- Energy-dispersive X-ray absorption spectroscopy at LNLS: investigation on strongly correlated metal oxides
- auteur
- Julio C. Cezar, Narcizo M. Souza-Neto, Cinthia Piamonteze, Edilson Tamura, Flavio Garcia, Edson J. Carvalho, Régis T. Neueschwander, Aline Y. Ramos, Hélio C. N. Tolentino, Alberto Caneiro, Nestor E. Massa, Maria Jesus Martinez-Lope, Jose Antonio Alonso, Jean-Paul Itié
- article
- Journal of Synchrotron Radiation, 2010, 17, pp.93-102. ⟨10.1107/S0909049509041119⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum Transport in GaN/AlN Double-Barrier Heterostructure nanowires
- auteur
- Rudeesun Songmuang, G. Katsaros, Eva Monroy, P. Spathis, Catherine Bougerol, M. Mongillo, Sophie Franceschi-Messant
- article
- Nano Letters, 2010, 10 (9), pp.3545-3550. ⟨10.1021/nl1017578⟩
- Accès au bibtex
-
- titre
- Molecular beam epitaxy growth and optical properties of AlN nanowires
- auteur
- Olivier Landré, Vincent Fellmann, P. Jaffrenou, Catherine Bougerol, Hubert Renevier, A. Cros, Bruno Daudin
- article
- Applied Physics Letters, 2010, 96 (6), pp.61912. ⟨10.1063/1.3315943⟩
- Accès au bibtex
-
- titre
- Investigation of the electronic transport in GaN nanowires containing GaN/AlN quantum discs
- auteur
- Lorenzo Rigutti, G. Jacopin, A.D.L. Bugallo, Maria Tchernycheva, E. Warde, F.H. Julien, Rudeesun Songmuang, E. Galopin, Ludovic Largeau, J.C. Harmand
- article
- Nanotechnology, 2010, 21 (42), pp.425206. ⟨10.1088/0957-4484/21/42/425206⟩
- Accès au bibtex
-
- titre
- Influence of thermal annealing on the structural and optical properties of GaN/AlN quantum dots
- auteur
- M. Peres, A. J. Neves, T. Monteiro, S. Magalhaes, E. Alves, Katharina Lorenz, H. Okuno-Vila, Vincent Fellmann, Catherine Bougerol, Bruno Daudin
- article
- physica status solidi (b), 2010, 247 (7), pp.1675-1678. ⟨10.1002/pssb.200983674⟩
- Accès au bibtex
-
- titre
- The structural properties of GaN/AlN core-shell nanocolumn heterostructures
- auteur
- K. Hestroffer, R. Mata, D. Camacho, C. Leclere, G. Tourbot, Y. M. Niquet, A. Cros, C. Bougerol, Hubert Renevier, B. Daudin
- article
- Nanotechnology, 2010, 21 (41), pp.415702 -415709. ⟨10.1088/0957-4484/21/41/415702⟩
- Accès au bibtex
-
- titre
- Deterministic' quantum plasmonics
- auteur
- Aurelien Cuche, Oriane Mollet, Aurélien Drezet, Serge Huant
- article
- Nano Letters, 2010, 10, pp.4566. ⟨10.1021/nl102568m⟩
- Accès au bibtex
-
- titre
- Giant slip lengths of a simple fluid at vibrating solid interfaces
- auteur
- Aurélien Drezet, Alessandro Siria, Joel Chevrier, Serge Huant
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2010, 81 (4), pp.046315. ⟨10.1103/PhysRevE.81.046315⟩
- Accès au bibtex
-
- titre
- Optical spectroscopy of cubic GaN in nanowires
- auteur
- Julien Renard, Gabriel Tourbot, Diane Sam-Giao, Catherine Bougerol, B. Daudin, Bruno Gayral
- article
- Applied Physics Letters, 2010, 97, pp.81910. ⟨10.1063/1.3478004⟩
- Accès au bibtex
-
- titre
- Single photons from single CdSe quantum dot embedded in ZnSe nanowire
- auteur
- Thomas Aichele, Gregory Sallen, Adrien Tribu, Régis Andre, Catherine Bougerol, Serge Tatarenko, Kuntheak Kheng, Jean-Philippe Poizat
- article
- International Journal of Nanotechnology, 2010, 7 (4-8), pp.686-701. ⟨10.1504/IJNT.2010.031739⟩
- Accès au bibtex
-
- titre
- Fe3+ spin transition in CaFe2O4 at high pressure
- auteur
- Marco Merlini, Michael Hanfland, Mauro Gemmi, Simo Huotari, Laura Simonelli, Pierre Strobel
- article
- The American Mineralogist, 2010, 95, pp.200. ⟨10.2138/am.2010.3347⟩
- Accès au bibtex
-
- titre
- Soft x-ray resonant magnetic reflectivity studies for in-and out-of-plane magnetization profile in ultra thin films
- auteur
- Jean-Marc Tonnerre, Nicolas Jaouen, E. Bontempi, D. Carbone, David Babonneau, Maurizio de Santis, Hélio Tolentino, Stéphane Grenier, Stéphanie Garaudée, Urs Staub
- article
- Journal of Physics: Conference Series, 2010, 211, pp.012015. ⟨10.1088/1742-6596/211/1/012015⟩
- Accès au bibtex
-
- titre
- Residual Doping in Homoepitaxial Zinc Oxide Layers Grown by Metal Organic Vapor Phase Epitaxy
- auteur
- Isabelle Bisotto, Carole Granier, Stéphane Brochen, Alexandre Ribeaud, Pierre Ferret, Gauthier Chicot, Johan Rothman, Julien Pernot, Guy Feuillet
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2010, 3 (9), pp.095802. ⟨10.1143/APEX.3.095802⟩
- Accès au texte intégral et bibtex
-
- titre
- A simple and accurate relative alternative magnetic susceptibility measurement technique
- auteur
- Bartosz Zawilski, Jacques Marcus, Philippe Plaindoux
- article
- Journal of Magnetism and Magnetic Materials, 2010, 322 (18), pp.2786-2788. ⟨10.1016/j.jmmm.2010.04.028⟩
- Accès au bibtex
-
- titre
- Doping with a special carbohydrate, C9H11NO, to improve the Jc-B properties of MgB2 tapes
- auteur
- X.P. Zhang, D.L. Wang, Z.S. Gao, L. Wang, Y.P. Qi, Z.Y. Zhang, Y.W. Ma, S. Awaji, G. Nishijima, K. Watanabe, Eric Mossang, X. Chaud
- article
- Superconductor Science and Technology, 2010, 23 (2), pp.025024. ⟨10.1088/0953-2048/23/2/025024⟩
- Accès au bibtex
-
- titre
- Separation of Geometric Isomers of a Dicopper Complex by Using a 19F-Labeled Ligand: Dynamics, Structures, and DFT Calculations
- auteur
- Durot Stéphanie, Leila Hossain, Sylvain Hamman, Jamet Hélène, Maylis Orio, Isabelle Gautier-Luneau, Dominique Luneau, Christian Philouze, Jean-Louis Pierre, Catherine Belle
- article
- Inorganic Chemistry, 2010, 49 (17), pp.7832-7840. ⟨10.1021/ic1006567⟩
- Accès au bibtex
-
- titre
- Layer-inversion zones in angular distributions of luminescence and absorption properties in biaxial crystals
- auteur
- Yannick Petit, Patricia Segonds, Simon Joly, Benoit Boulanger
- article
- Materials, 2010, 3 (4), pp.2474-2482. ⟨10.3390/ma3042474⟩
- Accès au bibtex
-
- titre
- Ordering of Pd2+ and Pd4+ in the Mixed-Valent Palladate KPd2O3
- auteur
- V. Panin R, N. R. Khasanova, Catherine Bougerol, Walter Schnelle, Gustaaf van Tendeloo, E. V. Antipov
- article
- Inorganic Chemistry, 2010, 49 (4), pp.1295-1297. ⟨10.1021/ic902187a⟩
- Accès au bibtex
-
- titre
- Exchange bias in GeMn nanocolumns: the role of surface oxidation
- auteur
- Samuel Tardif, Salia Cherifi, M. Jamet, T. Devillers, A. Barski, D. Schmitz, N. Darowski, P. Thakur, J. C. Cezar, N. B. Brookes, R. Mattana, Joel Cibert
- article
- Applied Physics Letters, 2010, 97 (6), pp.062501. ⟨10.1063/1.3476343⟩
- Accès au bibtex
-
- titre
- Indigo@Silicalite: a New Organic-Inorganic Hybrid Pigment
- auteur
- Catherine Dejoie, Pauline Martinetto, Eric Dooryhee, Pierre Strobel, Sylvie Blanc, Patrice Bordat, Ross Brown, Florence Porcher, Manolo Sanchez del Rio, Michel Anne
- article
- ACS Applied Materials & Interfaces, 2010, 2 (8), pp.2308-2316. ⟨10.1021/am100349b⟩
- Accès au bibtex
-
- titre
- Polarization control of non-diffractive helical optical beams through subwavelength metallic apertures
- auteur
- E. Lombard, Aurelien Drezet, C. Genet, T.W. Ebbesen
- article
- New Journal of Physics, 2010, 12, pp.023027. ⟨10.1088/1367-2630/12/2/023027⟩
- Accès au bibtex
-
- titre
- Deposition of La2Zr2O7 Film by Chemical Solution Deposition
- auteur
- Z. M. Yu, Philippe Odier, S. Morlens, P. Chaudouet, Maria Bacia, L. Zhou, P.X. Zhang, L. H. Jin, C. S. Li, Philippe David, Olivier Fruchart, Y.F. Lu
- article
- Journal of Sol-Gel Science and Technology, 2010, 54 (3), pp.363. ⟨10.1007/s10971-010-2204-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Growth mechanism of catalyst-free 0001 GaN and AlN nanowires on Si by molecular beam epitaxy
- auteur
- O. Landre, V. Fellmann, P. Jaffrennou, C. Bougerol, Hubert Renevier, B. Daudin
- article
- physica status solidi (c), 2010, 7 (7-8), pp.2246-2248. ⟨10.1002/pssc.200983613⟩
- Accès au bibtex
-
- titre
- Observation of a two level thermal conductivity in the low-dimensional materials
- auteur
- Bartosz Zawilski, R. T. Littleton, N. D. Lowhorn, Terry M. Tritt
- article
- Solid State Communications, 2010, 150 (29-30), pp.1299-1302. ⟨10.1016/j.ssc.2010.05.014⟩
- Accès au bibtex
-
- titre
- GaN/AlN quantum disc single-nanowire photodetectors
- auteur
- L. Rigutti, M. Tchernycheva, A.D.L. Bugallo, G. Jacopin, F.H. Julien, Rudeesun Songmuang, E. Monroy, S.T. Chou, Y.T. Lin, P.H. Tseng, L.W. Tu, F. Fortuna, L. Zagonel, M. Kociak, O. Stephan
- article
- physica status solidi (a), 2010, 207 (6), pp.1323-1327. ⟨10.1002/pssa.200983652⟩
- Accès au bibtex
-
- titre
- The Superconducting Transition in Boron Doped Silicon Films
- auteur
- T. Kociniewski, D. Débarre, Audrey Grockowiak, Kacmarcik J., C. Marcenat, Etienne Bustarret, Luc Ortega, Thierry Klein, G. Prudon, C. Dubois, B. Gautier, J.C. Dupuy
- article
- Acta Physica Polonica A, 2010, 118 (5), pp.1026. ⟨10.12693/APhysPolA.118.1026⟩
- Accès au texte intégral et bibtex
-
- titre
- Exciton-polariton Bose-Einstein condensation: advances and issues
- auteur
- Maxime Richard, Jacek Kasprzak, Augustin Baas, Stefan Kundermann, Konstantinos Lagoudakis, Michiel Wouters, Iacopo Carusotto, Régis André, Benoit Deveaud Pledran, Le Si Dang
- article
- International Journal of Nanotechnology, 2010, 7 (4/5/6/7/8), pp.668. ⟨10.1504/IJNT.2010.031738⟩
- Accès au bibtex
-
- titre
- Ultraviolet Photodetector Based on GaN/AlN Quantum Disks in a Single nanowire
- auteur
- Lorenzo Rigutti, Maria Tchernycheva, A.D.L. Bugallo, G. Jacopin, F.H. Julien, Luiz Fernando Zagonel, K. March, O. Stephan, Mathieu Kociak, Rudeesun Songmuang
- article
- Nano Letters, 2010, 10 (8), pp.2939-2943. ⟨10.1021/nl1010977⟩
- Accès au bibtex
-
- titre
- New one step functionalization of polycrystalline diamond films using amine derivatives
- auteur
- Charles Agnès, Sébastien Ruffinatto, Emma Delbarre, André Roget, Jean-Charles Arnault, Franck Omnès, Pascal Mailley
- article
- IOP Conference Series: Materials Science and Engineering, 2010, 16, pp.012001. ⟨10.1088/1757-899X/16/1/012001⟩
- Accès au bibtex
-
- titre
- Reinterpretation of Three Crystal Structures of Alkali Oxoiodate(V) - Description of the [I3O8]- Anion and the Infinite 2D [I3O8-]∞ Anion
- auteur
- Isabelle Gautier-Luneau, Yan Suffren, Julien Pilmé, Hélène Jamet
- article
- Journal of Inorganic and General Chemistry / Zeitschrift für anorganische und allgemeine Chemie, 2010, 636, pp.1368-1379. ⟨10.1002/zaac.200900508⟩
- Accès au bibtex
-
- titre
- Fabrication and properties of multifilamentary MgB2 wires by in-situ powder-in-tube process
- auteur
- Q. Y. Wang, G. F. Jiao, G.Q. Liu, X. M. Xiong, S. C. Yan, P.X. Zhang, André Sulpice, Eric Mossang, Y. Feng, G. Yan
- article
- Physica C: Superconductivity and its Applications, 2010, 470 (20), pp.1415-1418. ⟨10.1016/j.physc.2010.05.126⟩
- Accès au bibtex
-
- titre
- Neutron diffraction study of LaFe11.31Si1.69 and LaFe11.31Si1.69H1.45 compounds
- auteur
- Mariana Rosca, Mohamed Balli, Daniel Fruchart, Damien Gignoux, El-Kebir Hlil, Salvatore Miraglia, Bachir Ouladdiaf, Pierre Wolfers
- article
- Journal of Alloys and Compounds, 2010, 490, pp.50-55. ⟨10.1016/j.jallcom.2009.10.093⟩
- Accès au bibtex
-
- titre
- Classification of angular quasi-phase-matching loci in periodically poled uniaxial crystals
- auteur
- Yannick Petit, Pierre Brand, Benoit Boulanger, Patricia Segonds
- article
- Optical Materials, 2010, 32 (11), pp.1501-1507. ⟨10.1016/j.optmat.2010.06.011⟩
- Accès au bibtex
-
- titre
- Dynamic Study of N'N-dimethylparanitroaniline encapsulated in Silicalite-1 matrix using neutron spin-echo spectroscopy
- auteur
- Deu Bhange, Catherine Dejoie, Florence Porcher, Natalie Malikova, Pauline Martinetto, Eric Dooryhee, Michel Anne
- article
- The European Physical Journal. Special Topics, 2010, 189, pp.279-284. ⟨10.1140/epjst/e2010.01333-9⟩
- Accès au texte intégral et bibtex
-
- titre
- B→A allomorphic transition in native starch and amylose spherocrystals monitored by in situ synchrotron X-ray diffraction
- auteur
- Y. Nishiyama, J.L. Putaux, N. Montesanti, J.L. Hazemann, C. Rochas
- article
- Biomacromolecules, 2010, 11 (1), pp.76-87. ⟨10.1021/bm900920t⟩
- Accès au texte intégral et bibtex
-
- titre
- Reversed polarized emission in highly strained a-plane GaN/AlN multiple quantum wells
- auteur
- Rafael Mata, A. Cros, J. Budagosky, A. Molina-Sanchez, N. Garro, A. Garcia-Cristobal, Julien Renard, S. Founta, Bruno Gayral, Edith Bellet-Amalric, Catherine Bougerol, B. Daudin
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82, pp.125405. ⟨10.1103/PhysRevB.82.125405⟩
- Accès au bibtex
-
- titre
- Coulsellite, CaNa3AlMg3F14, a rhombohedral pyrochlore with 1:3 ordering in both A and B sites, from the Cleveland Mine, Tasmania, Australia
- auteur
- W.G. Mumme, Ian E. Grey, W. D. Birch, Allan Pring, Catherine Bougerol, C.N. Wilson
- article
- The American Mineralogist, 2010, 95 (5-6), pp.736. ⟨10.2138/am.2010.3360⟩
- Accès au bibtex
-
- titre
- Structure-Properties Relationships of Lithium Electrolytes Based on Ionic Liquid
- auteur
- Loan-Phung Le-My, Fannie Alloin, Pierre Strobel, Jean-Claude Leprêtre, Carlos Perez del Valle, Patrick Judeinstein
- article
- Journal of Physical Chemistry B, 2010, 114 (2), pp.894-903. ⟨10.1021/jp9098842⟩
- Accès au bibtex
-
- titre
- Spins in semiconducting nanostructures
- auteur
- L. Besombes, D. Ferrand, H. Mariette, Joel Cibert, M. Jamet, A. Barski
- article
- International Journal of Nanotechnology, 2010, 7 (4-8), pp.641. ⟨10.1504/IJNT.2010.031737⟩
- Accès au bibtex
-
- titre
- Characterization of spin-state tuning in thermally annealed semiconductor quantum dots
- auteur
- E. Margapoti, F.M. Alves, S. Mahapatra, T. Schmidt, V. Lopez-Richard, Catherine Bougerol, C. Destefani, L. Worschechl
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (20), pp.205318. ⟨10.1103/PhysRevB.82.205318⟩
- Accès au bibtex
-
- titre
- Electronic properties of epitaxial graphene
- auteur
- Claire Berger, Jean-Yves Veuillen, Laurence Magaud, Pierre Mallet, Valerio Olevano, Xavier Blase, Milan Orlita, Paulina Plochocka, Clement Faugeras, Gines Martinez Garcia, Marek Potemski, Cécile Naud, L.P. Levy, Didier Mayou
- article
- International Journal of Nanotechnology, 2010, 7 (4-8), pp.383-402. ⟨10.1504/IJNT.2010.031726⟩
- Accès au texte intégral et bibtex
-
- titre
- Combining Size Fractionation, Scanning Electron Microscopy, and X-ray Absorption Spectroscopy to Probe Zinc Speciation in Pig Slurry
- auteur
- Samuel Legros, E. Doelsch, Armand Masion, Jérôme Rose, D. Borschneck, Olivier Proux, J-L. Hazemann, H. Saint-Macary, Jean-Yves Bottero
- article
- Journal of Environmental Quality, 2010, 39 (2), pp.531-540. ⟨10.2134/jeq2009.0096⟩
- Accès au bibtex
-
- titre
- Martensite structures and twinning in substrate-constrained epitaxial Ni-Mn-Ga films deposited by a magnetron co-sputtering process
- auteur
- Jérémy Tillier, Daniel Bourgault, Sébastien Pairis, Luc Ortega, Nathalie Caillault, Laurent Carbone
- article
- Physics Procedia, 2010, 10, pp.168-173. ⟨10.1016/j.phpro.2010.11.094⟩
- Accès au texte intégral et bibtex
-
- titre
- Elastic strain relaxation in GaN/AlN nanowire superlattice
- auteur
- Olivier Landré, D. Camacho, Catherine Bougerol, Yann-Michel Niquet, Vincent Favre-Nicolin, Gilles Renaud, Hubert Renevier, Bruno Daudin
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (15), pp.153306. ⟨10.1103/PhysRevB.81.153306⟩
- Accès au bibtex
-
- titre
- Nanostructures made from superconducting boron doped diamond
- auteur
- Soumen Mandal, Cécile Naud, Oliver A. Williams, Etienne Bustarret, Franck Omnès, Pierre Rodière, Tristan Meunier, Laurent Saminadayar, Christopher Bäuerle
- article
- Nanotechnology, 2010, 21, pp.195303. ⟨10.1088/0957-4484/21/19/195303⟩
- Accès au bibtex
-
- titre
- Grafting fluorescent nanodiamonds onto optical tips
- auteur
- Aurelien Drezet, Serge Huant, Aurelien Cuche, Jean-François Roch, François Treussart
- article
- Journal of Nanophotonics, 2010, 4 (1), pp.043506. ⟨10.1117/1.3374237⟩
- Accès au bibtex
-
- titre
- Influence of Stacking Sequences and Lattice Parameter Differences on the Microstructure of Nonpolar AlN Films Grown on (11(2)over-bar0) 6H-SiC by Plasma-Assisted Molecular Beam Epitaxy
- auteur
- P. Vennegues, S. Founta, Henri Mariette, B. Daudin
- article
- Japanese Journal of Applied Physics, 2010, 49 (4), pp.040201. ⟨10.1143/JJAP.49.040201⟩
- Accès au bibtex
-
- titre
- Charge transport and magnetization profile at the interface between the correlated metal CaRuO3 and the antiferromagnetic insulator CaMnO3
- auteur
- J. W. Freeland, J. Chakhalian, A. V. Boris, Jean-Marc Tonnerre, J.J. Kavich, P. Yordanov, Stéphane Grenier, P. Zschack, E. Karapetrova, P. Popovich, H. N. Lee, B. Keimer
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (9), pp.094414. ⟨10.1103/PhysRevB.81.094414⟩
- Accès au texte intégral et bibtex
-
- titre
- Photoluminescence polarization properties of single GaN nanowires containing Al(x)Ga(1-x)N/GaN quantum discs
- auteur
- L. Rigutti, M. Tchernycheva, A. de Luna Bugallo, G. Jacopin, F.H. Julien, F. Furtmayr, M. Stutzmann, M. Eickhoff, Rudeesun Songmuang, F. Fortuna
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81, pp.045411. ⟨10.1103/PhysRevB.81.045411⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- New theoretical and experimental approaches for the optical studies of monoclinic and triclinic crystals
- auteur
- Simon Joly, Patricia Segonds, Benoit Boulanger, Yannick Petit, Corinne Felix, Bertrand Ménaert
- article
- 7th European-Israeli workshop on Materials for and by optics (EIW2010), Dec 2010, Lyon, France
- Accès au bibtex
-
- titre
- Fluorescent organic nanocrystal confined in sol-gel matrix for bio-imaging
- auteur
- Fabien Dubois, Cécile Philippot, Emilie Dubuisson, Alain Ibanez
- article
- 7th European-Israeli workshop on Materials for and by optics (EIW2010), Dec 2010, Lyon, France
- Accès au bibtex
-
- titre
- Organic nanocrystals grown in sol-gel matrices for optics and biophotonics
- auteur
- Alain Ibanez
- article
- Primeiro encontro de fisica do centro oeste, Nov 2010, Goiânia, Brazil
- Accès au bibtex
-
- titre
- AFM measurement of Young modulus and piezoelectricity on individual nanostructures
- auteur
- Laurent Montès, Xin Xu, Alexis Potié, Bogdan Bercu, Ronan Hinchet, Jiayun Lee, Doyoung Jang, G.-T. Kim, Gustavo Ardila, Panagiota Morfouli, Mireille Mouis, Thierry Baron, Bassem Salem, Rudeesun Songmuang
- article
- Workshop on ``New trends in Electrical Scanning Probe Microscopies'', Oct 2010, Grenoble, France
- Accès au bibtex
-
- titre
- Une nouvelle méthode AFM pour caractériser les propriétés piézoélectriques de nanostructures : Application pour la récupération d'énergie à partir de nanofils
- auteur
- R. Hinchet, X. Xu, J.W Lee, B. Bercu, A. Potie, T. Baron, B. Salem, Rudeesun Songmuang, G. Ardila, L. Montés, M. Mouis
- article
- Journées Nationales sur la récupération et le stockage d'énergie pour l'alimentation des microsystèmes autonomes,, Oct 2010, Paris, France
- Accès au bibtex
-
- titre
- Lu5Ir4Si10 whiskers : morphologies, structure cristalline, mosaïcité, supraconductivité et onde de densité de charge
- auteur
- C Opagiste, M Leroux, Pierre Rodière, G Garbarino, S. Pairis, Pierre Bordet, P. Lejay
- article
- Journées Cristech 2010, Oct 2010, Autrans, France
- Accès au bibtex
-
- titre
- Fluorescent organic nanocrystals confined in sol-gel matrices for bio-sensing and bio-imaging.
- auteur
- Fabien Dubois, Cécile Philippot, Emilie Dubuisson, Alain Ibanez
- article
- Second International Workshop on Advanced, Nano- and Biomaterials and Their Applications, Sep 2010, Sibiu, Romania
- Accès au bibtex
-
- titre
- Growth of large size periodically-poled KTiOPO4 crystals from thin periodically-poled KTiOPO4 substrates
- auteur
- Alexandra Peña, Bertrand Ménaert, Benoit Boulanger, Carlota Canalias, Valdas Pasiskevicius, Fredrik Laurell
- article
- Seventh International Conference on Inorganic Materials, Sep 2010, Biarritz, France
- Accès au bibtex
-
- titre
- Electrical properties of deuterated boron doped diamond
- auteur
- Julien Pernot, Amit Kumar, Franck Omnès, Alain Deneuville, Aboulaye Traoré, Pierre Muret, Nada Habka, Julien Barjon, François Jomard, Marie-Amandine Pinault, Jacques Chevallier, Christine Mer-Calfati, Philippe Bergonzo
- article
- 21st European Conference on Diamond, Diamond- Like Materials, Carbon Nanotubes, and Nitrides, Budapest, Hungary., Sep 2010, Budapest, Hungary
- Accès au bibtex
-
- titre
- The Nickel centre in diamond
- auteur
- Etienne Gheeraert, Amit Kumar, Laurence Magaud, Laurent Ranno, Julien Pernot, Yves Joly, Etienne Bustarret, Fabrice Donatini, Sakura Pascarelli, M. P. Ruffoni, H. Kanda
- article
- 21th European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides, Sep 2010, Budapest, Hungary
- Accès au bibtex
-
- titre
- Behavior of CVD diamond-based TL dosimeters in radiotherapy environments using photon and electron beams from treatment accelerators
- auteur
- M. Benabdesselam, A. Petitfils, Frédéric Wrobel, F. Mady, S. Marcié, Etienne Gheeraert
- article
- 21st Conference on Diamond, Diamond like Materials, Carbon Nanotubes and Nitrides, Sep 2010, Budapest, Hungary
- Accès au texte intégral et bibtex
-
- titre
- Thermal Properties of SiN, DLC and Diamond Membranes
- auteur
- Aurélien Sikora, Florence Garrelie, A.-S. Loir, Jacques Richard, David Eon, Clément Hébert, Franck Omnès, Christophe Donnet, Olivier Bourgeois
- article
- Diamond 2010, Sep 2010, Budapest, Hungary
- Accès au bibtex
-
- titre
- Electromagnetic waves confinement at the surface of metals
- auteur
- Aude Barbara
- article
- JMC12: 12ièmes journées de la matière condensée, Aug 2010, France
- Accès au bibtex
-
- titre
- A growth method to obtain large size periodically-poled KTiOPO4 crystals
- auteur
- Alexandra Pena, Bertrand Ménaert, Benoit Boulanger, Carlota Canalias, Valdas Pasiskevicius, Fredrik Laurell, Jerome Debray, Sébastien Pairis, Olivier Fruchart, Luc Ortega
- article
- The 16th International Conference on Crystal Growth (ICCG-16), Aug 2010, Beijing, China
- Accès au bibtex
-
- titre
- Fluorescence molecular nanocrystals grown in sol-gel matrices for optics and biophotonics
- auteur
- Fabien Dubois, Cécile Philippot, Emilie Dubuisson, Alain Ibanez
- article
- 16th International conference on crystal growth (ICCG-16), Aug 2010, Beijing, China
- Accès au bibtex
-
- titre
- Superconducting nanowire single electron detector
- auteur
- Michael Rosticher, François-René Ladan, J.-P. Maneval, T. Zijlstra, T. M. Klapwijk, S.N. Dorenbos, V. Zwiller, Adrian Lupascu, Gilles Nogues
- article
- Applied Superconductivity Conference, Aug 2010, Washington, United States
- Accès au bibtex
-
- titre
- UMo/Al nuclear fuel quantitative analysis via high energy X-ray diffraction
- auteur
- A. Bonnin, H. Palancher, V. Honkimäki, R. Tucoulou, Y. Calzavara, Claire Colin, Jean-François Bérar, Nathalie Boudet, H. Rouquette, J. Raynal, C. Valot, J. Rodriguez-Carvajal
- article
- European Powder Diffraction Conference; August 2010, Darmstadt, Germany, Aug 2010, Germany. pp.29
- Accès au bibtex
-
- titre
- Contribution of surface plasmons to radiative heat transfer at the nanoscale
- auteur
- Emmanuel Rousseau, Alessandro Siria, Guillaume Jourdan, S. Volz, Fabio Comin, Joël Chevrier, Jean-Jacques Greffet
- article
- Gordon Conference on Plasmonics, Jun 2010, Waterville, FL, United States
- Accès au bibtex
-
- titre
- Non-metal-to-metal transition driven by van der Waals forces in an interacting polaron gaz
- auteur
- Pascal Quemerais
- article
- APCTP-Postech advanced material science on Metal-insulator transitions in dissordered and magnetic systems, Apr 2010, Pohang, South Korea
- Accès au bibtex
-
- titre
- Multi-Sensorial Interface for 3D Teleoperation at Micro and Nanoscale
- auteur
- Nicolas Venant, Antoine Niguès, Florence Marchi, Michal Hrouzek, Fabio Comin, Joël Chevrier, Jean-Loup Florens
- article
- Haptics : generating and perceiving tangible sensations, Apr 2010, Amsterdam, Netherlands. pp.35-42
- Accès au texte intégral et bibtex
-
- titre
- Fabrication of a 3 GHz Oscillator based on NANO-Carbon-DIAMOND-FILM-BASED Guided Wave Resonators
- auteur
- R. Salut, C. Gesset, G. Martin, S. Saada, B. Assouar, P. Bergonzo, R. Boudot, F. Bénédic, O. Elmazria, Franck Omnès, Denis Remiens, S. Ballandras
- article
- EFTF 2010, 24th European Frequency and Time Forum, Apr 2010, Noordwijk, Netherlands
- Accès au bibtex
-
- titre
- Fabrication d'Oscillateurs Haute Fréquence (GHz) à base de Résonateurs SAW sur Substrat en Carbone Diamant
- auteur
- Roland Salut, Badreddine Assouar, Omar Elmazria, Fabien Benedic, Celine Gesset, Samuel Saada, Philippe Bergonzo, Franck Omnès, Vincent Edon, Denis Remiens, Sylvain Ballandras
- article
- 10ème Congrès Français d'Acoustique, Apr 2010, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Matériaux carbonés pour les transducteurs et les capteurs micro et nanothermiques
- auteur
- Aurélien Sikora, Florence Garrelie, A.-S. Loir, Jacques Richard, David Eon, Franck Omnès, Christophe Donnet, Olivier Bourgeois
- article
- GFEC2010, Mar 2010, Château Laval, France
- Accès au bibtex
-
- titre
- First report of absorption frame orientation depending on the electronic transition in Nd :YCOB monoclinic crystal
- auteur
- Simon Joly, Yannick Petit, Patricia Segonds, Benoit Boulanger, Corinne Felix, Bertrand Ménaert, Gérard Aka
- article
- VIème rencontre Franco-Espagnole sur la chimie et physique de l'état du solide & international workshop "solid state lasers : 50 years later", Mar 2010, Tarragona, Spain
- Accès au bibtex
-
- titre
- Le concept du NanoLearner : les mains dans le Nanomonde du grand public à l'Université
- auteur
- Florence Marchi, Julien Castet, Sylvain Marlière, Joël Chevrier, Annie Luciani, Jean-Loup Florens
- article
- CETSIS 2010 - Colloque sur l'enseignement des Technologies et des Sciences de l'Information et des Systèmes, Mar 2010, Grenoble, France. pp.77
- Accès au texte intégral et bibtex
-
- titre
- Hall effect measurements in deuterated boron doped diamond
- auteur
- Julien Pernot, Amit Kumar, Franck Omnès, Alain Deneuville, Aboulaye Traoré, Pierre Muret, Nada Habka, Julien Barjon, François Jomard, Jacques Chevallier, Christine Mer-Calfati, Philippe Bergonzo
- article
- 15th International Hasselt Diamond Workshop on CVD diamond, Hasselt, Belgium., Feb 2010, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Contribution of surface plasmons to radiative heat transfer at the nanoscale
- auteur
- Emmanuel Rousseau, Alessandro Siria, Guillaume Jourdan, S. Volz, Fabio Comin, Joël Chevrier, Jean-Jacques Greffet
- article
- Second International Conference on Metamaterials (Meta'10), Feb 2010, Cairo, Egypt
- Accès au bibtex
-
- titre
- Fluorescent molecular nanocrystals confined in sol-gel matrices for biophotonics
- auteur
- Cécile Philippot, Emilie Dubuisson, Fabien Dubois, Alain Ibanez
- article
- First Polish - French Workshop on Organic Electronics and Nanophotonics, Jan 2010, Swieradow Zdroj, Poland
- Accès au bibtex
-
- titre
- Nanocrystals growth on carbon nanotubes
- auteur
- Hasan-Al Mehedi, Etienne Gheeraert
- article
- European Materials Research Society Spring Meeting, 2010, Strasbourg, France. pp.141
- Accès au bibtex
-
- titre
- Imaging electron transport by scanning gate microscopy
- auteur
- P. Liu, H. Sellier, S. Huant, X. Wallart, L. Desplanque, B. Hackens, F. Martins, V. Bayot
- article
- Forum des Microscopies à Sonde Locale, 2010, Mittelwihr, France
- Accès au bibtex
-
- titre
- La formation pratique en nanotechnologie : l'approche mise en place par le GIP CNFM
- auteur
- Laurence Ressier, F. Marchi, H. Sellier, A. Bournel, A. Dazzi, S. Retailleau, F. Bendriaa, Virginie Hoel, H. Happy
- article
- 8ème Colloque sur l'Enseignement des Technologies et des Sciences de l'Information et des Systèmes, CETSIS 2010, 2010, Grenoble, France
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- CdTe-Based Nanostructures
- auteur
- H. Mariette
- article
- CdTe and Related Compounds; Physics, Defects, Hetero- and Nano-structures, Crystal Growth, Surfaces and Applications, Elsevier, pp.99-132, 2010, European Materials Research Society Series, ⟨10.1016/B978-0-08-046409-1.00003-4⟩
- Accès au bibtex
-
- titre
- An Augmented Reality Nanomanipulator for Learning Nanophysics: The "NanoLearner" Platform
- auteur
- Florence Marchi, Sylvain Marlière, Jean-Loup Florens, Annie Luciani, Joël Chevrier
- article
- Zhigeng Pan, Adrian David Cheok, Wolfgang Müller, Xiaopeng Zhang, Kevin Wong. Transactions on Edutainment IV, 6250, Springer, pp.157-175, 2010, Lecture Notes in Computer Science, 978-3-642-14483-7. ⟨10.1007/978-3-642-14484-4_14⟩
- Accès au bibtex
-
- titre
- Spontaneous coherence within a gas of exciton−polaritons in Telluride microcavities
- auteur
- Maxime Richard, Michiel Wouters, Daniel Le-Si Dang
- article
- G.Slavcheva et P. Roussignol. Optical generation and control of quantum coherence in semiconductor nanostructures, Springer-verlag, pp.265-291, 2010, ⟨10.1007/978-3-642-12491-4_11⟩
- Accès au bibtex
-
Brevet
- titre
- Procédé de fabrication d'une sonde optique conique
- auteur
- Serge Huant, Alain Ibanez, Jean-François Motte
- article
- Patent n° : 10/59409. 2010
- Accès au bibtex
-
- titre
- Procédé de lithographie électronique a imagerie de cathodoluminescence
- auteur
- Fabrice Donatini, Daniel Le Si Dang
- article
- France, N° de brevet: WO2010106248 A1. 2010, pp.16
- Accès au bibtex
-
Poster de conférence
- titre
- Élaboration par co-pulvérisation assistée par plasma micro-onde d'alliages métalliques en couches minces pour le stockage solide de l'hydrogène
- auteur
- L. Laversenne, A. Bès, I. Iliescu, A. Lacoste, S. Miraglia, D. Fruchart, J. Pelletier
- article
- Journée Plasmas et Energie, Dec 2010, Grenoble, France
- Accès au bibtex
-
Thèse
- titre
- Mesures de la force de Casimir à basse température
- auteur
- Justine Laurent
- article
- Physique [physics]. Université de Grenoble, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- SYNTHESES ET ÉTUDES STRUCTURALES DE COMPLEXES À OXO-ANION DE L'IODE (V) : VERS DE NOUVEAUX MATERIAUX POUR L'OPTIQUE NON LINEAIRE QUADRATIQUE
- auteur
- Yan Suffren
- article
- Matériaux. Université de Grenoble, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- MATÉRIAUX COMPACTS MAGNÉTIQUES DOUX OBTENUS À L'ÉTAT NANOCRISTALLIN À PARTIR DE POUDRES D'ALLIAGES Ni-Fe-X ISSUES DE MÉCANOSYNTHÈSE
- auteur
- Bogdan Viorel Neamţu
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Elaboration et caractérisations de nanocristaux organiques fluorescents insérés en coquille sol-gel : vers le développement d'un nouveau type d'agent imageant
- auteur
- Cécile Philippot
- article
- Chimie. Université de Grenoble, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Matériaux de type LaFe13-xSix à fort pouvoir magnétocalorique - Synthèse et optimisation de composés massifs et hypertrempés - Caractérisations fondamentales
- auteur
- Mariana Rosca
- article
- Matériaux. Université de Grenoble, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of 5%MgO:PPLN and CdSiP2 for infrared parametric generation
- auteur
- Pierre Brand
- article
- Optics [physics.optics]. Université de Grenoble, 2010. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Contrôle de l'émission spontanée de boîtes quantiques semiconductrices insérées dans des micro-structures à confinement optique originales
- auteur
- Maela Bazin
- article
- Matière Condensée [cond-mat]. Université de Grenoble, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthèse et Etude des Matériaux Thermoélectrique du Système Mg2Si1-XSnX
- auteur
- Djalila Boudemagh
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Off-Axis Electron Holography for Field Mapping in the semiconductor Industry
- auteur
- David N. Cooper, Béché Armand, Martien den Hertog, Aurélien Masseboeuf, Jean-Luc Rouviere, Pascale Bayle-Guillemaud, Gambacorti Narcisco
- article
- 2010
- Accès au bibtex
-
- titre
- Revisiting Maya Blue and Designing Hybrid Pigments by Archaeomimetism
- auteur
- Catherine Dejoie, Eric Dooryhee, Pauline Martinetto, Sylvie Blanc, Patrice Bordat, Ross Brown, Florence Porcher, Manolo Sanchez del Rio, Pierre Strobel, Michel Anne, Elsa van Eslande, Philippe Walter
- article
- 2010
- Accès au texte intégral et bibtex
-
2009
Article dans une revue
- titre
- New Model for Magnetism in Ultrathin fcc Fe on Cu(001)
- auteur
- Holger Meyerheim, Jean-Marc Tonnerre, Leonid Sandratskii, Helio Tolentino, Marek Przybylski, Yasmine Gabi, Fikret Yildiz, X. L. Fu, Elsa Bontempi, Stéphane Grenier, Jürgen Kirschner
- article
- Physical Review Letters, 2009, 103, pp.267202. ⟨10.1103/PhysRevLett.103.267202⟩
- Accès au bibtex
-
- titre
- Dynamics of long-range ordering in an exciton-polariton condensate
- auteur
- G. Nardin, K. G. Lagoudakis, M. Wouters, Maxime Richard, A. Baas, Régis Andre, Daniel Le-Si Dang, B. Pietka, B. Deveaud-Plédran
- article
- Physical Review Letters, 2009, 103 (25), pp.256402. ⟨10.1103/PhysRevLett.103.256402⟩
- Accès au texte intégral et bibtex
-
- titre
- Molecular mechanics investigations of carbon nanotube and graphene sheet interaction
- auteur
- Mahamadou Seydou, Sophie Marsaudon, Julien Buchoux, Jean Pierre Aimé, Anne-Marie Bonnot
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80, pp.245421. ⟨10.1103/PhysRevB.80.245421⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrafast Coherent Electron Spin Flip in a Modulation-Doped CdTe Quantum Well
- auteur
- Carey Phelps, Timothy Sweeney, R. Cox, Hailin Wang
- article
- Physical Review Letters, 2009, 102, pp.237402. ⟨10.1103/PhysRevLett.102.237402⟩
- Accès au texte intégral et bibtex
-
- titre
- New YBCO superconducting wires obtained from narrow textured tubes
- auteur
- P. Odier, A. Allais, C. Millon, S. Morlens, L. Ortega, J. Jimenez, Laureline Porcar, Xavier Chaud, P. Chaudouët, S. Pairis, P. Tixador, J.-L. Soubeyroux
- article
- Superconductor Science and Technology, 2009, 22 (12), pp.125024. ⟨10.1088/0953-2048/22/12/125024⟩
- Accès au bibtex
-
- titre
- Elaboration and optimization of (Y,Er)Al3(BO3)4 glassy planar waveguides through the sol-gel process
- auteur
- Lauro J. Q. Maia, Jochen Fick, Christophe Bouchard, Valmor R. Mastelaro, Antonio Carlos Hernandes, Alain Ibanez
- article
- Optical Materials, 2009, 32, pp.484. ⟨10.1016/j.optmat.2009.10.008⟩
- Accès au bibtex
-
- titre
- Anisotropic linear-polarization luminescence in CdTe/CdMnTe quantum wires
- auteur
- Yukihiro Harada, Takashi Kita, Osamu Wada, Hiroaki Ando, H. Mariette
- article
- Journal of Luminescence, 2009, 129 (12), pp.1448-1453. ⟨10.1016/j.jlumin.2009.02.028⟩
- Accès au bibtex
-
- titre
- Fabrication and luminescence properties of self-assembled CdTe quantum dots embedded in an MnTe matrix
- auteur
- P. Wojnar, J. Suffczyński, A. Golnik, A. Ebbens, U. Woggon, G. Karczewski, J. Kossut
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80, pp.195321. ⟨10.1103/PhysRevB.80.195321⟩
- Accès au bibtex
-
- titre
- Observation of Half-Quantum Vortices in an Exciton-Polariton Condensate
- auteur
- K. G. Lagoudakis, T. Ostatnicky, A.V. Kavokin, Yuri G. Rubo, Régis André, Benoit Deveaud-Plédran
- article
- Science, 2009, 326 (5955), pp.974-976. ⟨10.1126/science.1177980⟩
- Accès au bibtex
-
- titre
- Magnetic structure of the NaCl-type NdSb compound
- auteur
- Pietro Manfrinetti, A. Provino, A. V. Morozkin, Olivier Isnard
- article
- Journal of Alloys and Compounds, 2009, 487 (1-2), pp.L28-L29. ⟨10.1016/j.jallcom.2009.08.038⟩
- Accès au bibtex
-
- titre
- Self-consistency, spin-orbit and other advances in the FDMNES code to simulate XANES and RXD experiments.
- auteur
- Yves Joly, Oana Bunau, José-Emilio Lorenzo, Rose-Marie Galera, Stéphane Grenier, B. Thompson
- article
- Journal of Physics: Conference Series, 2009, 190, pp.012007. ⟨10.1088/1742-6596/190/1/012007⟩
- Accès au bibtex
-
- titre
- Magnetic structure of the rare earth intermetallic compound Ce4Ge3
- auteur
- A. V. Morozkin, Olivier Isnard, R. Nirmala, S.K Malik
- article
- Journal of Alloys and Compounds, 2009, 486, pp.497-500. ⟨10.1016/j.jallcom.2009.06.191⟩
- Accès au bibtex
-
- titre
- Elaboration and optical properties of type-II ZnTe on ZnSe heterostructures
- auteur
- Rita Najjar, Régis André, Lucien Besombes, Catherine Bougerol, Serge Tatarenko, Henri Mariette
- article
- Materials Science and Engineering: B, 2009, 165 (1-2), pp.85-87. ⟨10.1016/j.mseb.2009.02.014⟩
- Accès au bibtex
-
- titre
- Ab initio investigation of the CdTe (001) surface
- auteur
- B. Rerbal, G. Merad, H. Mariette, H.I. Faraoun, J.-M. Raulot
- article
- Superlattices and Microstructures, 2009, 46 (5), pp.733-744. ⟨10.1016/j.spmi.2009.07.025⟩
- Accès au bibtex
-
- titre
- Strain induced on (TMTSF)ReO microwires deposited on a silicon substrate
- auteur
- Claire Colin, N. Joo, C.R. Pasquier
- article
- European Physical Journal: Applied Physics, 2009, 48 (3), pp.30402. ⟨10.1051/epjap/2009168⟩
- Accès au texte intégral et bibtex
-
- titre
- Local redistribution of dopants and defects induced by annealing in polycrystalline compound semiconductors
- auteur
- Vincent Consonni, Guy Feuillet, J.P. Barnes, Fabrice Donatini
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80 (16), pp.165207. ⟨10.1103/PhysRevB.80.165207⟩
- Accès au bibtex
-
- titre
- Magnetic properties of the iron sublattice in the YFe12-xMx compounds (M = Ti, Mo or V; x = 1-3.5)
- auteur
- Olivier Isnard, V. Pop
- article
- Journal of Physics: Condensed Matter, 2009, 21, pp.6003. ⟨10.1088/0953-8984/21/40/406003⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherent optical control of the wave function of zero-dimensional exciton polaritons
- auteur
- R. Cerna, D. Sarchi, T. K. Paraïso, G. Nardin, Y. Léger, Maxime Richard, B. Pietka, O. El Daif, F. Morier-Genoud, V. Savona, M. T. Portella-Oberli, B. Deveaud-Plédran
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80 (12), pp.121309. ⟨10.1103/PhysRevB.80.121309⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystallography of Chevrel Phases, MMo6T8 (M = Cd, Na, Mn, and Zn, T = S, Se) and Their Cation Mobility
- auteur
- E. Levi, Gregory Gershinsky, Doron Aurbach, Olivier Isnard
- article
- Inorganic Chemistry, 2009, 48 (18), pp.8751-8758. ⟨10.1021/ic900805g⟩
- Accès au bibtex
-
- titre
- Evidence for quantum-confined Stark effect in GaN/AlN quantum dots in nanowires
- auteur
- Julien Renard, Rudeesun Songmuang, Gabriel Tourbot, Catherine Bougerol, Bruno Daudin, Bruno Gayral
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80, pp.121305(R). ⟨10.1103/PhysRevB.80.121305⟩
- Accès au bibtex
-
- titre
- Structural characterization of rare-earth doped yttrium aluminoborate laser glasses using solid state NMR
- auteur
- Heinz Deters, A.S.S. Camargo, C.N. Santos, C.R. Ferrari, Antonio Carlos Hernandes, Alain Ibanez, Matthias Rinke, H. Eckert
- article
- Journal of Physical Chemistry C, 2009, 113 (36), pp.16216-16225. ⟨10.1021/jp9032904⟩
- Accès au bibtex
-
- titre
- Synthesis of YAP nanopowder by a soft chemistry route
- auteur
- J.F. Carvalho, F.S. de Vincente, Sébastien Pairis, Philippe Odier, Antonio Carlos Hernandes, Alain Ibanez
- article
- Journal of the European Ceramic Society, 2009, 29 (12), pp.2511-2515. ⟨10.1016/j.jeurceramsoc.2009.03.005⟩
- Accès au bibtex
-
- titre
- Electrically active defects in boron doped diamond homoepitaxial layers studied from deep level transient spectroscopies and other techniques
- auteur
- Pierre Muret, Amit Kumar, Pierre-Nicolas Volpe, Mamadou Wade, Julien Pernot, Laurence Magaud, Christine Mer-Calfati, Philippe Bergonzo
- article
- physica status solidi (a), 2009, 206 (9), pp.2016-2021. ⟨10.1002/pssa.200982218⟩
- Accès au texte intégral et bibtex
-
- titre
- A new view on gold speciation in sulfur-bearing hydrothermal fluids from in situ X-ray absorption spectroscopy and quantum-chemical modeling
- auteur
- Gleb S. Pokrovski, Boris R Tagirov, Jacques Schott, Jean-Louis F Hazemann, Olivier Proux
- article
- Geochimica et Cosmochimica Acta, 2009, 73 (18), pp.5406-5427. ⟨10.1016/j.gca.2009.06.007⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical spin orientation of a single manganese atom in a quantum dot
- auteur
- L. Besombes, C. Le Gall, H. Boukari, R. Kolodka, D. Ferrand, Joel Cibert, H. Mariette
- article
- Solid State Communications, 2009, 149 (35-36), pp.1472-1478. ⟨10.1016/j.ssc.2009.04.035⟩
- Accès au bibtex
-
- titre
- Stuctural and dielectric study of parylene C thin films
- auteur
- A. Kahouli, Alain Sylvestre, Luc Ortega, Fathi Jomni, Béchir Yangui, M. Maillard, B. Berge, J.C. Robert, J. Legrand
- article
- Applied Physics Letters, 2009, 94 (15), pp.152901. ⟨10.1063/1.3114404⟩
- Accès au bibtex
-
- titre
- Continuous-wave versus time-resolved measurements of Purcell-factors for quantum dots in semiconductor microcavities
- auteur
- Mathieu Munsch, Alexis Mosset, Alexia Auffèves, Signe Seidelin, Jean-Philippe Poizat, J.-M. Gérard, A. Lemaître, I. Sagnes, P. Senellart
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80 (11), pp.115312. ⟨10.1103/PhysRevB.80.115312⟩
- Accès au texte intégral et bibtex
-
- titre
- Pressure-Induced Structural transformations of the Zintl phase sodium silicide
- auteur
- Raul Quesada Cabrera, Ashkan Salamat, Oleg I. Barkalov, Olivier Leynaud, Peter T. Hutchins, Dominik Daisenberger, Denis Machon, Andrea Sella, Dewi W. Lewis, Paul F. Mcmillan
- article
- Journal of Solid State Chemistry, 2009, 182 (9), pp.2535-2542. ⟨10.1016/j.jssc.2009.06.037⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamics of the Formation and Decay of Coherence in a Polariton Condensate
- auteur
- E. del Valle, D. Sanvitto, A. Amo, F.P. Laussy, Régis André, C. Tejedor, L. Vina
- article
- Physical Review Letters, 2009, 103 (9), pp.096404. ⟨10.1103/PhysRevLett.103.096404⟩
- Accès au texte intégral et bibtex
-
- titre
- A microstructural study of superconductive nanocrystalline diamond
- auteur
- Maria del Pilar Villar, Maria de La Paz Alegre, Daniel Araújo, Etienne Bustarret, Philipp Achatz, Laurent Saminadayar, Christopher Bäuerle, O. A. Williams
- article
- physica status solidi (a), 2009, 206, pp.1986. ⟨10.1002/pssa.200982224⟩
- Accès au bibtex
-
- titre
- Metal-insulator transition and superconductivity in highly boron-doped nanocrystalline diamond films
- auteur
- Philipp Achatz, Etienne Bustarret, C. Marcenat, Raoul Piquerel, Thomas Dubouchet, Claude Chapelier, Anne-Marie Bonnot, O. A. Williams, Ken Haenen, Wojciech Gajewski, Jose Antonio Garrido, Martin Stutzmann
- article
- physica status solidi (a), 2009, 206, pp.1978-1985. ⟨10.1002/pssa.200982233⟩
- Accès au bibtex
-
- titre
- Exciton dynamics of a single quantum dot embedded in a nanowire
- auteur
- Gregory Sallen, Adrien Tribu, Thomas Aichele, Régis André, Lucien Besombes, Catherine Bougerol, Serge Tatarenko, Kuntheak Kheng, Jean-Philippe Poizat
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80, pp.085310. ⟨10.1103/PhysRevB.80.085310⟩
- Accès au texte intégral et bibtex
-
- titre
- Role of natural nanoparticles on the speciation of Ni in andosols of la Reunion
- auteur
- Clément Levard, Emmanuel Doelsch, Jérôme Rose, Armand Masion, Isabelle Basile-Doelsch, Olivier Proux, Jean-Louis Hazemann, Daniel Borschneck, Jean-Yves Bottero
- article
- Geochimica et Cosmochimica Acta, 2009, 73 (16), pp.4750-4760. ⟨10.1016/j.gca.2009.05.053⟩
- Accès au bibtex
-
- titre
- Brilliant molecular nanocrystals emerging from sol-gel thin films: towards a new generation of fluorescent biochips.
- auteur
- Emilie Dubuisson, Virginie Monnier, Nathalie Sanz-Menez, B. Boury, Yves Usson, Robert Bernard Pansu, Alain Ibanez
- article
- Nanotechnology, 2009, 20 (31), pp.315301. ⟨10.1088/0957-4484/20/31/315301⟩
- Accès au bibtex
-
- titre
- Exciton polaritons in quantum wells in a transverse magnetic field
- auteur
- D. Loginov, V. Kochereshko, A. Platonov, J. Davies, D. Wolverson, L. Smith, R. Cox, Joel Cibert, H. Mariette
- article
- Physics of the Solid State, 2009, 51 (8), pp.1649-1655. ⟨10.1134/S1063783409080216⟩
- Accès au bibtex
-
- titre
- Surface plasmon polaritons in sub-wavelength metallic gratings studied by higher-order angle-resolved spectroscopy
- auteur
- Camille Maxime, Jochen Fick
- article
- European Physical Journal: Applied Physics, 2009, 48 (1), pp.10901. ⟨10.1051/epjap/2009123⟩
- Accès au texte intégral et bibtex
-
- titre
- Luminescent and thermo-optical properties of Nd3+-doped yttrium aluminoborate laser glasses
- auteur
- N.C. Santos, D. Mohr, W.F. Silva, A.S.S. de Camargo, H. Eckert, M.S. Li, M.V.D. Vermelho, Antonio Carlos Hernandes, Alain Ibanez, C. Jacinto
- article
- Journal of Applied Physics, 2009, 106 (2), pp.023512. ⟨10.1063/1.3176503⟩
- Accès au bibtex
-
- titre
- Structural characterization of the active form of PerR: insights into the metal-induced activation of PerR and Fur proteins for DNA binding
- auteur
- Lilian Jacquamet, Daouda A. K. Traoré, Jean-Luc Ferrer, Olivier Proux, Denis Testemale, Jean-Louis Hazemann, Elena Nazarenko, Abdelnasser Ghazouani, Christelle Caux-Thang, Victor Duarte, Jean-Marc Latour
- article
- Molecular Microbiology, 2009, 73 (1), pp.20-31. ⟨10.1111/j.1365-2958.2009.06753.x⟩
- Accès au bibtex
-
- titre
- Exciton-polariton condensation in a natural two-dimensional trap
- auteur
- D. Sanvitto, A. Amo, L. Vina, Régis Andre, Dimitri Solnyshkov, Guillaume Malpuech
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80 (4), pp.045301. ⟨10.1103/PhysRevB.80.045301⟩
- Accès au bibtex
-
- titre
- Scanning gate microscopy of quantum rings: effects of an external magnetic field and of charged defects
- auteur
- M. G. Pala, S. Baltazar, F. Martins, B. Hackens, Hermann Sellier, T. Ouisse, Vincent Bayot, Serge Huant
- article
- Nanotechnology, 2009, 20 (26), pp.264021. ⟨10.1088/0957-4484/20/26/264021⟩
- Accès au bibtex
-
- titre
- Type-II ZnTe/ZnSe quantum dots and quantum wells
- auteur
- Rita Najjar, Régis André, Lucien Besombes, Catherine Bougerol, Serge Tatarenko, Henri Mariette
- article
- Superlattices and Microstructures, 2009, 46 (1-2), pp.253-257. ⟨10.1016/j.spmi.2009.02.006⟩
- Accès au bibtex
-
- titre
- Interplay of inequivalent atomic positions in resonant x-ray diffraction of Fe3BO6
- auteur
- Guillaume Beutier, E.N. Ovchinnikova, S. P. Collins, Vladimir-E. Dmitrienko, José-Emilio Lorenzo, Jean-Louis Hodeau, A. Kirfel, Yves Joly, A. A. Antonenko, V. A. Sarkisyan, A. Bombardi
- article
- Journal of Physics: Condensed Matter, 2009, 21, pp.265402. ⟨10.1088/0953-8984/21/26/265402⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic properties of Th3P4-type Tb4Sb3-X{Si, Ge, Bi}(X) solid solutions
- auteur
- A. V. Morozkin, D. Buddhikot, A.K. Nigam, Olivier Isnard, Y. Mozharivskyj
- article
- Journal of Alloys and Compounds, 2009, 478, pp.22-29. ⟨10.1016/j.jallcom.2008.10.168⟩
- Accès au bibtex
-
- titre
- Magnetic and crystal structures of the one-dimensional ferromagnetic chain pyroxene NaCrGe2O6
- auteur
- Gwilherm Nenert, C. Ritter, M. Isobe, Olivier Isnard, A.N. Vasiliev, Y. Ueda
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80, pp.024402. ⟨10.1103/PhysRevB.80.024402⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen absorption of NdDyFeCoNbCuB sintered magnets
- auteur
- Jianjun Luo, Patricia de Rango, Daniel Fruchart, Jinna Mei, Rui Hu, Jinshan Li, Lian Zhou
- article
- Journal of Rare Earths, 2009, 27 (3), pp.520-524. ⟨10.1016/S1002-0721(08)60281-1⟩
- Accès au bibtex
-
- titre
- Synthesis of YAP phase by a polymeric method: comprehension of the phase evolution mechanisms
- auteur
- J.F. Carvalho, F.S. de Vicente, Noelie Marcellin, Philippe Odier, Antonio Carlos Hernandes, Alain Ibanez
- article
- Journal of Thermal Analysis and Calorimetry, 2009, 96 (3), pp.891-896. ⟨10.1007/s10973-009-0045-0⟩
- Accès au bibtex
-
- titre
- Effect of ruthenium substitution in layered sodium cobaltate Na< i> x CoO< sub> 2: Synthesis, structural and physical properties
- auteur
- Pierre Strobel, Hervé Muguerra, Sylvie Hébert, Elise Pachoud, Claire Colin, Marc-Henri Julien
- article
- Journal of Solid State Chemistry, 2009, 182, pp.1872. ⟨10.1016/j.jssc.2009.04.030⟩
- Accès au bibtex
-
- titre
- A structural, magnetic, and Mössbauer spectral study of the TbCo4-xFexB compounds with x=0, 1, and 2
- auteur
- Hervé Mayot, Olivier Isnard, Fernande Grandjean, Gary J. Long
- article
- Journal of Applied Physics, 2009, 105, pp.3908. ⟨10.1063/1.3138808⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultrasonic properties near 50 K of the quasi-one-dimensional conductors A0. 30MoO3 (A= K, Rb) and Rb0. 30 (Mo1− xVx) O3
- auteur
- Michel Saint-Paul, Jean Dumas, Jacques Marcus
- article
- Journal of Physics: Condensed Matter, 2009, 21 (21), pp.215603. ⟨10.1088/0953-8984/21/21/215603⟩
- Accès au bibtex
-
- titre
- Pure emitter dephasing: A resource for advanced solid-state single-photon sources
- auteur
- Alexia Auffèves, Jean-Michel Gérard, Jean-Philippe Poizat
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2009, 79, pp.053838. ⟨10.1103/PhysRevA.79.053838⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and magnetic properties of the (Bi2-xPrx)Ru2O7 pyrochlore solid solution (0 <= x <= 2)
- auteur
- Siwar Zouari, Rafik Ballou, Abdelwaheb Cheikh-Rouhou, Pierre Strobel
- article
- Journal of Alloys and Compounds, 2009, 476 (1-2), pp.43-48. ⟨10.1016/j.jallcom.2008.09.106⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-temperature transport in highly boron-doped nanocrystalline diamond
- auteur
- Philipp Achatz, Wojciech Gajewski, Etienne Bustarret, C. Marcenat, Raoul Piquerel, Claude Chapelier, Thomas Dubouchet, O. A. Williams, Ken Haenen, Jose Antonio Garrido, Martin Stutzmann
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79 (20), pp.201203(R). ⟨10.1103/PhysRevB.79.201203⟩
- Accès au texte intégral et bibtex
-
- titre
- Collinear antiferromagnetism in ZrMn6Sn6-xInx (0.2 <= x <= 0.8)
- auteur
- H. Ihou-Mouko, T. Mazet, Olivier Isnard, B. Malaman
- article
- Journal of Alloys and Compounds, 2009, 475, pp.25-28. ⟨10.1016/j.jallcom.2008.07.117⟩
- Accès au bibtex
-
- titre
- High-pressure phase transformations, pressure-induced amorphization, and polyamorphic transition of the clathrate Rb6.15Si46
- auteur
- D. Machon, Pierre Toulemonde, P. F. Mcmillan, M. Amboage, A. Munoz, P. Rodríguez-Hernández, A. San Miguel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79, pp.184101. ⟨10.1103/PhysRevB.79.184101⟩
- Accès au texte intégral et bibtex
-
- titre
- Defect analysis and excitons diffusion in undoped homoepitaxial diamond films after polishing and oxygen plasma etching
- auteur
- Pierre-Nicolas Volpe, Pierre Muret, Franck Omnès, Jocelyn Achard, François Silva, Ovidiu Brinza, Alix Gicquel
- article
- Diamond and Related Materials, 2009, 18 (10), pp.1205-1210. ⟨10.1016/j.diamond.2009.04.008⟩
- Accès au texte intégral et bibtex
-
- titre
- A MEMS-based high frequency x-ray chopper
- auteur
- Alessandro Siria, Olivier Dhez, Wilfrid Schwartz, Gauthier Torricelli, Fabio Comin, Joël Chevrier
- article
- Nanotechnology, 2009, 20, pp.175501. ⟨10.1088/0957-4484/20/17/175501⟩
- Accès au bibtex
-
- titre
- Superconducting group-IV semiconductors
- auteur
- Xavier Blase, Etienne Bustarret, Claude Chapelier, Thierry Klein, C. Marcenat
- article
- Nature Materials, 2009, 8, pp.375-382. ⟨10.1038/NMAT2425⟩
- Accès au texte intégral et bibtex
-
- titre
- Er:YAl3(BO3)4 glassy thin films from polymeric precursor and sol-gel methods: Waveguides for integrated optics
- auteur
- Lauro J. Q. Maia, Valmor R. Mastelaro, Antonio Carlos Hernandes, Jochen Fick, Alain Ibanez
- article
- Thin Solid Films, 2009, 517, pp.6584. ⟨10.1016/j.tsf.2009.04.040⟩
- Accès au bibtex
-
- titre
- High temperature superconductivity ( ! T c onset at 34K) in the high pressure orthorhombic phase of ! FeSe 0.82
- auteur
- Gaston Garbarino, Amadou Sow, Pascal Lejay, André Sulpice, Pierre Toulemonde, Mohamed Mezouar, Manuel Nunez-Regueiro
- article
- EPL - Europhysics Letters, 2009, 86 (2), pp.27001. ⟨10.1209/0295-5075/86/27001⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic characterization of the non centrosymmetric Ba3NbFe3Si2O14 langasite
- auteur
- Karol Marty, Virginie Simonet, Pierre Bordet, Rafik Ballou, Pascal Lejay, Olivier Isnard, Eric Ressouche, Frédéric Bourdarot, Pierre Bonville
- article
- Journal of Magnetism and Magnetic Materials, 2009, 321 (11), pp.1778-1781. ⟨10.1016/j.jmmm.2009.02.032⟩
- Accès au bibtex
-
- titre
- New Insight on the Unusually High Ionic Mobility in Chevrel Phases
- auteur
- E. Levi, Olivier Isnard, Gregory Gershinsky, Doron Aurbach, Gerbrand Ceder
- article
- Chemistry of Materials, 2009, 21, pp.1390-1399. ⟨10.1021/cm900033v⟩
- Accès au bibtex
-
- titre
- Magnetic structure and charge ordering in Fe3BO5 ludwigite
- auteur
- Pierre Bordet, Emmanuelle Suard
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79, pp.144408. ⟨10.1103/PhysRevB.79.144408⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural, dielectric and optical properties of yttrium calcium borate glasses
- auteur
- Cristiane N. Santos, Domingos de Sousa Meneses, Patrick Echegut, Daniel-R. Neuville, Antonio Carlos Hernandes, Alain Ibanez
- article
- Applied Physics Letters, 2009, 94 (15), pp.151901. ⟨10.1063/1.3115796⟩
- Accès au bibtex
-
- titre
- Thermoluminescent and optical absorption properties of neodymium doped yttrium aluminoborate and yttrium calcium borate glasses
- auteur
- E.M. Yoshimura, Cristiane N. Santos, Alain Ibanez, Antonio Carlos Hernandes
- article
- Optical Materials, 2009, 31 (6), pp.795-799. ⟨10.1016/j.optmat.2008.08.004⟩
- Accès au bibtex
-
- titre
- Phase transformations and magnetic structure of nanocrystalline Fe-Pd and Co-Pt alloys studied by in situ neutron powder diffraction
- auteur
- Julia Lyubina, Oliver Gutfleisch, Olivier Isnard
- article
- Journal of Applied Physics, 2009, 105, pp.07A717. ⟨10.1063/1.3058617⟩
- Accès au bibtex
-
- titre
- Growth and properties of defect-free ZnSe nanowires and nanoneedles
- auteur
- Thomas Aichele, Adrien Tribu, Catherine Bougerol, Kuntheak Kheng, Fabrice Donatini, Daniel Le-Si Dang, Régis André, Serge Tatarenko
- article
- physica status solidi (b), 2009, 246 (4), pp.812-815. ⟨10.1002/PSSB.200880652⟩
- Accès au bibtex
-
- titre
- Dynamic equilibrium of magnetic ions in Cd(Mn)Te quantum dots
- auteur
- T. Clément, D. Ferrand, L. Besombes, H. Boukari, H. Mariette
- article
- Microelectronics Journal, 2009, 40 (4-5), pp.733-735. ⟨10.1016/j.mejo.2008.11.039⟩
- Accès au bibtex
-
- titre
- Optical probing of spin fluctuations of a single magnetic atom
- auteur
- L. Besombes, Yoan Léger, Julien Bernos, Claire Le-Gall, Herve Boukari, Jean Philippe Poizat, H. Mariette
- article
- physica status solidi (b), 2009, 246 (4), pp.756-761. ⟨10.1002/pssb.200880651⟩
- Accès au bibtex
-
- titre
- Antiferromagnetic order in (Lu0.8Ce0.2)2Fe17 and Lu2Fe16.5Ru0.5: High pressure study
- auteur
- E. A. Tereshina, A. V. Andreev, J. Kamarád, Olivier Isnard
- article
- Journal of Applied Physics, 2009, 105, pp.07A747. ⟨10.1063/1.3075584⟩
- Accès au bibtex
-
- titre
- Type-II excitons in ZnTe/ZnSe quantum dots
- auteur
- Régis André, Rita Najjar, Lucien Besombes, Catherine Bougerol, Serge Tatarenko, Henri Mariette
- article
- physica status solidi (c), 2009, 6 (4), pp.857-859. ⟨10.1002/pssc.200880600⟩
- Accès au bibtex
-
- titre
- Metal speciation in fluid inclusions using microbeam X-ray absorption spectroscopy
- auteur
- Julianne James-Smith, Joel Brugger, J. Cauzid, Denis Testemale, Jean-Louis Hazemann, Weihua Liu, Olivier Proux
- article
- Journal of Geochemical Exploration, 2009, 101 (1), pp.51. ⟨10.1016/j.gexplo.2008.11.044⟩
- Accès au bibtex
-
- titre
- A study of the high temperature spin reorientation in YCoFe3B
- auteur
- F. Grandjean, M.-T. Sougrati, Hervé Mayot, Olivier Isnard, G. J. Long
- article
- Journal of Physics: Condensed Matter, 2009, 21, pp.186001. ⟨10.1088/0953-8984/21/18/186001⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical spin orientation of a single manganese atom in a semiconductor quantum dot using quasi-resonant excitation
- auteur
- Claire Le Gall, Lucien Besombes, Hervé Boukari, Roman Kolodka, Joel Cibert, Henri Mariette
- article
- Physical Review Letters, 2009, 102, pp.127402. ⟨10.1103/PhysRevLett.102.127402⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental investigation on the magneto-optic effects of ferrofluids via dynamic measurements
- auteur
- Damien Jamon, Fabrice Donatini, A. Siblini, François Royer, Régine Perzynski, Valérie Cabuil, Sophie Neveu
- article
- Journal of Magnetism and Magnetic Materials, 2009, 321, pp.1148-1154. ⟨10.1016/j.jmmm.2008.10.038⟩
- Accès au bibtex
-
- titre
- Magnetic ordering of the CeFeSi-type La0.5Tb0.5MnSi compound
- auteur
- Olivier Isnard, A. V. Morozkin, T.I. Ivanova, J.N. Lamsal, W. Yelon, S.K Malik
- article
- Journal of Alloys and Compounds, 2009, 471, pp.L1-L4. ⟨10.1016/j.jallcom.2008.03.070⟩
- Accès au bibtex
-
- titre
- Self assembly drives quantum dot photoluminescence
- auteur
- Jerome Plain, Yannick Sonnefraud, Pierre Viste, Gilles Lerondel, Serge Huant, Pascal Royer
- article
- Journal of Fluorescence, 2009, 19, pp.311. ⟨10.1007/s10895-008-0417-z⟩
- Accès au bibtex
-
- titre
- Anomalous behaviorof ultrasonic properties near 50K in the blue bronzes Rb0.30MoO3
- auteur
- Michel Saint-Paul, Jean Dumas, Jacques Marcus
- article
- Physica B: Condensed Matter, 2009, 404 (3-4), pp.430-432. ⟨10.1016/j.physb.2008.11.043⟩
- Accès au bibtex
-
- titre
- CdSe quantum dots in ZnSe nanowires as efficient source for single photons up to 220K
- auteur
- Thomas Aichele, Adrien Tribu, Gregory Sallen, Juanita Bocquel, Edith Bellet-Amalric, Catherine Bougerol
- article
- Journal of Crystal Growth, 2009, 311 (7), pp.2123-2127. ⟨10.1016/j.jcrysgro.2008.10.103⟩
- Accès au bibtex
-
- titre
- Magnetic and crystal structures of the magnetoelectric pyroxene LiCrSi2O6
- auteur
- Gwilherm Nenert, M. Isobe, Clemens Ritter, Olivier Isnard, A.-N. Vasiliev, Y. Ueda
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79, pp.064416. ⟨10.1103/PhysRevB.79.064416⟩
- Accès au texte intégral et bibtex
-
- titre
- CdSe quantum dot in a ZnSe nanowire as an efficient source of single photons
- auteur
- Adrien Tribu, Gregory Sallen, Thomas Aichele, Catherine Bougerol, Régis André, Jean-Philippe Poizat, Serge Tatarenko, Kuntheak Kheng
- article
- physica status solidi (b), 2009, 246 (4), pp.846-849. ⟨10.1002/pssb.200880670⟩
- Accès au bibtex
-
- titre
- Magnetic properties and magnetic structure of the Mn5Si3-type Tb5Si3 compound
- auteur
- A. V. Morozkin, Olivier Isnard, R. Nirmala, Satish K. Malik
- article
- Journal of Magnetism and Magnetic Materials, 2009, 470, pp.20-23. ⟨10.1016/j.jallcom.2008.02.087⟩
- Accès au bibtex
-
- titre
- Exciton spin dynamics in zinc-blende GaN/AlN quantum dots: Temperature dependence
- auteur
- D. Lagarde, A. Balocchi, H. Carrère, P. Renucci, T. Amand, S. Founta, H. Mariette, X. Marie
- article
- Microelectronics Journal, 2009, 40 (2), pp.328-330. ⟨10.1016/j.mejo.2008.07.020⟩
- Accès au bibtex
-
- titre
- An in situ X-ray absorption spectroscopy study of gold-chloride complexing in hydrothermal fluids
- auteur
- Gleb S. Pokrovski, Boris Tagirov, Jacques Schott, Elena Bazarkina, Jean-Louis F Hazemann, Olivier Proux
- article
- Chemical Geology, 2009, 259 (1-2), pp.17-29. ⟨10.1016/j.chemgeo.2008.09.007⟩
- Accès au bibtex
-
- titre
- Motional enhancement of the exciton magnetic moment
- auteur
- V. Kochereshko, A. Platonov, J. Davies, D. Wolverson, L. Smith, R. Cox, Joel Cibert, H. Mariette
- article
- physica status solidi (c), 2009, 6 (2), pp.512-515. ⟨10.1002/pssc.200880351⟩
- Accès au bibtex
-
- titre
- Wide band gap semiconductor nanostructures for optoelectronic applications
- auteur
- K. Lischka, A. Waag, H. Mariette, J. Neugebauer
- article
- Microelectronics Journal, 2009, 40 (2), pp.203. ⟨10.1016/j.mejo.2008.07.009⟩
- Accès au bibtex
-
- titre
- Quantitative non contact dynamic Casimir force measurements
- auteur
- Guillaume Jourdan, Astrid Lambrecht, Fabio Comin, Joël Chevrier
- article
- EPL - Europhysics Letters, 2009, 85 (3), pp.31001. ⟨10.1209/0295-5075/85/31001⟩
- Accès au texte intégral et bibtex
-
- titre
- Understanding the magnetic ground state of rare-earth intermetallic compound Ce 4Sb 3: Magnetization and neutron diffraction studies
- auteur
- R. Nirmala, A. V. Morozkin, Olivier Isnard, A. K. Nigam
- article
- Journal of Magnetism and Magnetic Materials, 2009, 321, pp.188-191. ⟨10.1016/J.JMMM.2008.08.102⟩
- Accès au bibtex
-
- titre
- Magnetization dynamics down to zero field in dilute (Cd,Mn)Te quantum wells
- auteur
- Mateusz Goryca, David Ferrand, Piotr Kossacki, Michal Nawrocki, Wojciech Pacuski, Wiktor Maslana, Jan A. Gaj, Serge Tatarenko, Joel Cibert, Tomasz Wojtowicz, Grzegorz Karczewski
- article
- Physical Review Letters, 2009, 102 (4), pp.046408. ⟨10.1103/PhysRevLett.102.046408⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhancement of microcavity polariton relaxation under confinement
- auteur
- T.K. Paraiso, D. Sarchi, G. Nardin, R. Cerna, Y. Léger, B. Pietka, Maxime Richard, O. El Daif, F. Morier-Genoud, V. Savona, B. Deveaud-Pledran
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79 (4), pp.045319. ⟨10.1103/PhysRevB.79.045319⟩
- Accès au bibtex
-
- titre
- Study of the multipeak deuterium thermodesorption in YFe2Dx (1.3 ≤ x ≤ 4.2) by DSC, TD and in situ neutron diffraction
- auteur
- T. Leblond, Valérie Paul-Boncour, Fermin Cuevas, Olivier Isnard, J. F. Fernandez
- article
- International Journal of Hydrogen Energy, 2009, 34 (5), pp.2278-2287. ⟨10.1016/j.ijhydene.2008.12.046⟩
- Accès au bibtex
-
- titre
- Probing the elastic properties of individual nanostructures by combining in situ atomic force microscopy and micro-x-ray diffraction
- auteur
- Thomas Scheler, Mario S. Rodriguès, Thomas W. Cornelius, Christian Mocuta, Angelo Malachias, Rogerio Magalhaes-Paniago, Fabio Comin, Joël Chevrier, T.H. Metzger
- article
- Applied Physics Letters, 2009, 94 (2), pp.023109. ⟨10.1063/1.3067988⟩
- Accès au bibtex
-
- titre
- Electronic and optical properties of boron-doped nanocrystalline diamond films
- auteur
- Wojciech Gajewski, Philipp Achatz, O. A. Williams, Ken Haenen, Etienne Bustarret, Martin Stutzmann, Jose Antonio Garrido
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79, pp.045206. ⟨10.1103/PhysRevB.79.045206⟩
- Accès au bibtex
-
- titre
- Quantum dot to quantum wire transition of m-plane GaN islands
- auteur
- Benoît Amstatt, Julien Renard, Catherine Bougerol, Edith Bellet-Amalric, Bruno Gayral, Bruno Daudin
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79 (3), pp.035313. ⟨10.1103/PhysRevB.79.035313⟩
- Accès au bibtex
-
- titre
- Assessment of uranium and selenium speciation in human and bacterial biological models to probe changes in their structural environment
- auteur
- L. Avoscan, Sarah Milgram, Guillaume Untereiner, Richard Collins, H. Khodja, Jacques Covès, Jean-Louis Hazemann, M. Carriere, B. Gouget
- article
- Radiochimica Acta, 2009, 97 (7), pp.375-383. ⟨10.1524/ract.2009.1626⟩
- Accès au bibtex
-
- titre
- Thermal and structural investigation of Er : YAB nanocrystalline powder by the polymeric precursor method
- auteur
- C.R. Ferrari, Antonio Carlos Hernandes, Alain Ibanez
- article
- Journal of Thermal Analysis and Calorimetry, 2009, 95 (1), pp.59-62. ⟨10.1007/s10973-007-8821-1⟩
- Accès au bibtex
-
- titre
- Ab initio study of electronic and magnetic properties of GaSb/Mn and GaAs/Mn digital ferromagnetic heterostructures
- auteur
- Andrey Titov, Erkin Kulatov, Y.A. Uspenskii, V.V. Tugushev, Fabienne Michelini, H. Mariette
- article
- Solid State Phenomena, 2009, 152-153, pp.533-536. ⟨10.4028/www.scientific.net/SSP.152-153.533⟩
- Accès au bibtex
-
- titre
- Effects of substitution of Ni by Sb in MnNi
- auteur
- Roxana Pacurariu, Vasile Rednic, Marin Coldea, Diana Benea, Viorel Pop, Olivier Isnard, Manfred Neumann
- article
- physica status solidi (b), 2009, 246, pp.50-55. ⟨10.1002/PSSB.200844233⟩
- Accès au bibtex
-
- titre
- Coexisting nonequilibrium condensates with long-range spatial coherence in semiconductor microcavities
- auteur
- D. N. Krizhanovskii, K. G. Lagoudakis, M. Wouters, B. Pietka, R. A. Bradley, K. Guda, D. M. Whittaker, M. S. Skolnick, B. Deveaud-Pledran, Maxime Richard, Régis Andre, Daniel Le-Si Dang
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80 (4), pp.045317. ⟨10.1103/PhysRevB.80.045317⟩
- Accès au bibtex
-
- titre
- XPS study of ruthenium tris-bipyridine electrografted from diazonium salt derivative on microcrystalline boron doped diamond
- auteur
- Charles Agnès, Jean-Charles Arnault, Franck Omnès, Bruno Jousselme, Martial Billon, Gérard Bidan, Pascal Mailley
- article
- Physical Chemistry Chemical Physics, 2009, 11 (48), pp.11647-11654. ⟨10.1039/B912468C⟩
- Accès au bibtex
-
- titre
- 29Si NMR and 69;71Ga NMR/NQR study of the kagomé compound Nd3Ga5SiO14
- auteur
- A. Zorko, F. Bert, Pierre Bordet, Pascal Lejay, P. Mendels
- article
- Journal of Physics: Conference Series, 2009, HIGHLY FRUSTRATED MAGNETISM 2008 (HFM 2008) 7–12 September 2008, Braunschweig, Germany, 145 (1), pp.012006. ⟨10.1088/1742-6596/145/1/012006⟩
- Accès au texte intégral et bibtex
-
- titre
- Strain relaxation in short-period polar GaN/AIN superlattices
- auteur
- P. K. Kandaswamy, Catherine Bougerol, D. Jalabert, Pierre Ruterana, E. Monroy
- article
- Journal of Applied Physics, 2009, 106 (1), pp.013526. ⟨10.1063/1.3168431⟩
- Accès au bibtex
-
- titre
- Modelling the Pb release during Portland cement alteration.
- auteur
- A. Benard, Jérôme Rose, Jean-Louis Hazemann, O. Proux, L. Trotignon, D. Borschneck, A. Nonat, L. Chateau, J.Y. Bottero
- article
- Advances in Cement Research, 2009, 21 (1), pp.1-10. ⟨10.1680/adcr.2007.00028⟩
- Accès au texte intégral et bibtex
-
- titre
- Mg doping and its effect on the semipolar GaN(112¯2) growth kinetics
- auteur
- L. Lahourcade, Julien Pernot, A. Wirthmüller, Marie-Pierre Chauvat, Pierre Ruterana, A. Laufer, M. Eickhoff, E. Monroy
- article
- Applied Physics Letters, 2009, 95 (17), pp.171908. ⟨10.1063/1.3256189⟩
- Accès au bibtex
-
- titre
- Depth dependent local structures in thin films unraveled by grazing incidence x-ray absorption spectroscopy
- auteur
- Narcizo M. Souza-Neto, Aline Y. Ramos, Hélio C. N. Tolentino, Alessandro Martins, Antonio D. Santos
- article
- Journal of Applied Crystallography, 2009, 42 (6), pp.1158-1164. ⟨10.1107/S0021889809042678⟩
- Accès au texte intégral et bibtex
-
- titre
- Nonmagnetic thin layers of Ni3N
- auteur
- David Vempaire, Farid Fettar, Luc Ortega, F. Pierre, Salvatore Miraglia, André Sulpice, J. Pelletier, El-Kebir Hlil, Daniel Fruchart
- article
- Journal of Applied Physics, 2009, 106, pp.073911. ⟨10.1063/1.3238290⟩
- Accès au bibtex
-
- titre
- Enhancement of hydrogen sorption in magnesium hydride using expanded graphite
- auteur
- Albin Chaise, Patricia de Rango, Philippe Marty, Daniel Fruchart, Salvatore Miraglia, Régis Olivès, Sylvain Garrier
- article
- International Journal of Hydrogen Energy, 2009, 34, pp.8589-8596. ⟨10.1016/j.ijhydene.2009.07.112⟩
- Accès au bibtex
-
- titre
- Angular quasi-phase-matching experiments and determination of accurate Sellmeier equations for 5%MgO:PPLN
- auteur
- Pierre Brand, Benoit Boulanger, Patricia Segonds, Yannick Petit, Corinne Felix, Bertrand Ménaert, Takunori Taira, Hideki Ishizuki
- article
- Optics Letters, 2009, 34 (17), pp.2578-2580. ⟨10.1364/OL.34.002578⟩
- Accès au texte intégral et bibtex
-
- titre
- Geochemical resilience of a ferrasol subjected to anoxia and organic matter amendment
- auteur
- Jasmina Lahlah, Pierre P. Renault, Patrick Cazevieille, Aurelie Buzet, Jean-Louis Hazemann, Manfred Womes, Philippe Cambier
- article
- Soil Science Society of America Journal, 2009, 73 (6), pp.1958-1971. ⟨10.2136/sssaj2008.0302⟩
- Accès au bibtex
-
- titre
- Fluorescent oxide nanoparticles adapted to active tips for near-field optics
- auteur
- Aurelien Cuche, B. Masenelli, G. Ledoux, D. Amans, C. Dujardin, Yannick Sonnefraud, P. Melinon, Serge Huant
- article
- Nanotechnology, 2009, 20, pp.015603. ⟨10.1088/0957-4484/20/1/015603⟩
- Accès au bibtex
-
- titre
- Structure, morphology and magnetism of an ultra-thin [NiO/CoO]/PtCo bilayer with perpendicular exchange bias
- auteur
- Hélio Tolentino, Maurizio de Santis, Jean-Marc Tonnerre, Aline Y. Ramos, Véronique Langlais, Stéphane Grenier, Aude Bailly
- article
- Brazilian Journal of Physics, 2009, 39 (1A), pp.150-155. ⟨10.1590/S0103-97332009000200005⟩
- Accès au texte intégral et bibtex
-
- titre
- An X-Ray Absorption study of the dissolution of siderite at 300 bar between 50°C and 100°C
- auteur
- Denis Testemale, F. Dufaud, I. Martinez, Pascale Bénézeth, Jean-Louis Hazemann, J. Schott, François Guyot
- article
- Chemical Geology, 2009, 259, pp.8--16. ⟨10.1016/j.chemgeo.2008.08.019⟩
- Accès au bibtex
-
- titre
- Synchrotron diffraction study of high-pressure C-60 polymerization
- auteur
- L. Marques, M. Mezouar, Jean-Louis Hodeau
- article
- High Pressure Research, 2009, 29 (4), pp.564-568. ⟨10.1080/08957950903350967⟩
- Accès au bibtex
-
- titre
- Depth dependent local structures in CoPt thin films
- auteur
- Narcizo M. Souza-Neto, Aline Y. Ramos, Hélio Tolentino, Yves Joly
- article
- Journal of Physics: Conference Series, 2009, 190, pp.012112. ⟨10.1088/1742-6596/190/1/012112⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of metallic/oxide interfaces in Pt/Co/AlOx trilayers by hard x-ray reflectivity
- auteur
- Farid Fettar, Houmed Garad, Luc Ortega, Aline Y. Ramos, Bartosz Zawilski, Philippe Plaindoux, Stephane Auffret, Bernard Rodmacq, Bernard Dieny
- article
- IEEE Transactions on Magnetics, 2009, 45 (10), pp.3905-3908. ⟨10.1109/TMAG.2009.2021670⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of intense laser and energetic ion irradiation on Raman modes of Multiwalled Carbon Nanotubes
- auteur
- Amit Kumar, F. Singh, P.M. Koinkar, D.K. Avasthi, J.C. Pivin, M.A. More
- article
- Thin Solid Films, 2009, 517, pp.4322-4324. ⟨10.1016/j.tsf.2008.12.016⟩
- Accès au bibtex
-
- titre
- Mid-infrared intersubband absorption in GaN/AlGaN superlattices on Si(111) templates
- auteur
- P. K. Kandaswamy, H. Machhadani, Catherine Bougerol, S. Sakr, Maria Tchernycheva, F.H. Julien, E. Monroy
- article
- Applied Physics Letters, 2009, 95 (14), pp.141911. ⟨10.1063/1.3242345⟩
- Accès au bibtex
-
- titre
- Optical and spin coherence of excitons in zinc-blende GaN
- auteur
- Christelle Brimont, M. Gallart, O. Cregut, B. Hoenerlage, P. Gilliot, Delphine Lagarde, Andrea Balocchi, Thierry Amand, Xavier Marie, S. Founta, Henri Mariette
- article
- Journal of Applied Physics, 2009, 106, pp.053514. ⟨10.1063/1.3197035⟩
- Accès au bibtex
-
- titre
- Local bio-sensitization of nanocrystalline boron doped diamond surfaces with biotin using electrospotting
- auteur
- Charles Agnès, Mathias Bonnauron, Franck Omnès, Jean-Charles Arnault, Philippe Bergonzo, Milos Nesladek, Pascal Mailley
- article
- Sensor letters, 2009, 7 (5), pp.872-879. ⟨10.1166/sl.2009.1165⟩
- Accès au bibtex
-
- titre
- Bright CdSe quantum dot inserted in single ZnSe nanowires
- auteur
- Adrien Tribu, Gregory Sallen, Thomas Aichele, Catherine Bougerol, Régis André, Jean-Philippe Poizat, Serge Tatarenko, Kuntheak Kheng
- article
- Microelectronics Journal, 2009, 40 (2), pp.253-255. ⟨10.1016/j.mejo.2008.07.045⟩
- Accès au bibtex
-
- titre
- Nucleation mechanism of GaN nanowires grown on (111) Si by molecular beam epitaxy
- auteur
- O. Landre, C. Bougerol, Hubert Renevier, Bruno Daudin
- article
- Nanotechnology, 2009, 20 (41), pp.415602-415610. ⟨10.1088/0957-4484/20/41/415602⟩
- Accès au bibtex
-
- titre
- Size effects on the charge-density-wave pinning in the quasi-one-dimensional conductor K0.30MoO3
- auteur
- Jean Dumas, Jacques Marcus
- article
- Physics Letters A, 2009, 373 (45), pp.4189-4193. ⟨10.1016/j.physleta.2009.09.027⟩
- Accès au bibtex
-
- titre
- A CdSe quantum dot in a ZnSe nanowire as an efficient high-temperature single-photon source
- auteur
- Thomas Aichele, Adrien Tribu, Gregory Sallen, Catherine Bougerol, Régis André, Juanita Bocquel, Edith Bellet-Almeric, Fabrice Donatini, Le Si Dang, Jean-Philippe Poizat, Kuntheak Kheng, Serge Tatarenko
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2009, Quantum Dots, Particles, and Nanoclusters VI, 7224, pp.72240U. ⟨10.1117/12.809244⟩
- Accès au bibtex
-
- titre
- High pressure-high temperature synthesis of diamond from single-wall pristine and iodine doped carbon nanotube bundles
- auteur
- A. Merlen, Pierre Toulemonde, S. Le Floch, G. Montagnac, Tahar Hammouda, O. Marty, A. San Miguel
- article
- Carbon, 2009, 47, pp.1643-1651. ⟨10.1016/j.carbon.2009.02.014⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherent electronic transport through graphene constrictions: sub-wavelength regime and optical analogies
- auteur
- Pierre Darancet, Valerio Olevano, Didier Mayou
- article
- Physical Review Letters, 2009, 102 (13), pp.136803. ⟨10.1103/PhysRevLett.102.136803⟩
- Accès au texte intégral et bibtex
-
- titre
- Single crystal growth of BiMnO3 under high pressure-high temperature
- auteur
- Pierre Toulemonde, Céline Darie, Céline Goujon, Murielle Legendre, Tania Mendonca, Michelle Alvarez-Murga, Virginie Simonet, Pierre Bordet, Pierre Bouvier, Jens Kreisel, Mohamed Mezouar
- article
- High Pressure Research, 2009, 29 (4), pp.600 - 604. ⟨10.1080/08957950903467050⟩
- Accès au bibtex
-
- titre
- Local scale ordering in LaMnO3 under pressure: x-ray absorption study
- auteur
- Aline Y. Ramos, Hélio Tolentino, Narcizo M. Souza-Neto, Alberto Caneiro, Yves Joly, Jean-Paul Itié, Anne-Marie Flank, Pierre Lagarde
- article
- Journal of Physics: Conference Series, 2009, 190, pp.012096. ⟨10.1088/1742-6596/190/1/012096⟩
- Accès au texte intégral et bibtex
-
- titre
- Solving unknown complex oxide structures by precession electron diffraction: AgCoO2, PbMnO2.75 and LiTi1.5Ni0.5O4
- auteur
- Holger Klein, Mauro Gemmi, Amélie Rageau
- article
- Materials Research Society Symposia Proceedings, 2009, 1184, pp.GG01-06. ⟨10.1557/PROC-1184-GG01-06⟩
- Accès au bibtex
-
- titre
- Singular topology of optical absorption in biaxial crystals
- auteur
- Simon Joly, Yannick Petit, Benoit Boulanger, Patricia Segonds, Corinne Felix
- article
- Optics Express, 2009, 17 (22), pp.19868-19873. ⟨10.1364/OE.17.019868⟩
- Accès au bibtex
-
- titre
- Viscous cavity damping of a microlever in a simple fluid
- auteur
- Alessandro Siria, Aurélien Drezet, Florence Marchi, F. Comin, Joel Chevrier, Serge Huant
- article
- Physical Review Letters, 2009, 102 (25), pp.254503. ⟨10.1103/PhysRevLett.102.254503⟩
- Accès au bibtex
-
- titre
- Structural and magnetic properties of Ni3N synthesized by multidipolar microwave plasma-assisted reactive sputtering
- auteur
- David Vempaire, Salvatore Miraglia, J. Pelletier, Daniel Fruchart, El-Kebir Hlil, Luc Ortega, André Sulpice, Farid Fettar
- article
- Journal of Alloys and Compounds, 2009, 480, pp.225-229. ⟨10.1016/j.jallcom.2009.02.066⟩
- Accès au bibtex
-
- titre
- Diamond nanoparticles as photoluminescent nanoprobes for biology and near-field optics
- auteur
- Aurelien Cuche, Yannick Sonnefraud, Orestis Faklaris, Damien Garrot, J. -P. Boudou, T. Sauvage, Jean-François Roch, François Treussart, Serge Huant
- article
- Journal of Luminescence, 2009, 129 (12), pp.1475-1477. ⟨10.1016/j.jlumin.2009.04.089⟩
- Accès au texte intégral et bibtex
-
- titre
- Laser and self-doubling properties of a Nd:YCOB crystal cut as a sphere and inserted in a cavity
- auteur
- Patricia Segonds, Simon Joly, Benoit Boulanger, Yannick Petit, Corinne Felix, Bertrand Ménaert, Gérard Aka
- article
- Journal of the Optical Society of America B, 2009, 26 (4), pp.750-753. ⟨10.1364/JOSAB.26.000750⟩
- Accès au bibtex
-
- titre
- Modification of molecular transitions in fullerene films under ion impacts
- auteur
- A. Kumar, A. Podhorodecki, J. Misiewicz, D.K. Avasthi, J.C. Pivin
- article
- Journal of Applied Physics, 2009, 105, pp.024314. ⟨10.1063/1.3074104⟩
- Accès au bibtex
-
- titre
- Radiative heat transfer at the nanoscale
- auteur
- Emmanuel Rousseau, Alessandro Siria, Guillaume Jourdan, Sebastian Volz, Fabio Comin, Joël Chevrier, Jean-Jacques Greffet
- article
- Nature Photonics, 2009, 3, pp.514-517. ⟨10.1038/NPHOTON.2009.144⟩
- Accès au bibtex
-
- titre
- GaN/AlGaN intersubband optoelectronic devices
- auteur
- Catherine Bougerol, H. Machhadani, P. K. Kandaswamy, S. Saki, Assaf Vardi, A. Wirtmuller, Laurent Nevou, Fabien Guillot, G. Pozzovivo, Maria Tchernycheva, Alaviana Lupu, Laurent Vivien, Eva Monroy
- article
- New Journal of Physics, 2009, 11, pp.125023. ⟨10.1088/1367-2630/11/12/125023⟩
- Accès au bibtex
-
- titre
- Luminescence studies of Nd3+ and Yb3+ -doped α-Y(IO3)3 and β-Y(IO3)3, transparent host matrix in the mid and beginning of the far-infrared.
- auteur
- Delphine Phanon, Alain Brenier, Isabelle Gautier-Luneau
- article
- Journal of Luminescence, 2009, 129 (3), pp.203-207. ⟨10.1016/j.jlumin.2008.09.013⟩
- Accès au bibtex
-
- titre
- The structural properties of GaN insertions in GaN/AlN nanocolumn heterostructures
- auteur
- C. Bougerol, R. Songmuang, D. Camacho, Y.M. Niquet, Rafael Mata, A. Cros, B. Daudin
- article
- Nanotechnology, 2009, 20 (29), pp.295706. ⟨10.1088/0957-4484/20/29/295706⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Measurement of radiative heat transfer due to evanescent waves
- auteur
- Emmanuel Rousseau, Alessandro Siria, Guillaume Jourdan, S. Volz, Fabio Comin, Joël Chevrier, Jean-Jacques Greffet
- article
- Micro and Nanoscale Heat and Mass Transfer International Conference, Dec 2009, Shanghai, China
- Accès au bibtex
-
- titre
- Carrier mobility in homoepitaxial doped diamond
- auteur
- Julien Pernot, Pierre Muret, Franck Omnès, Pierre-Nicolas Volpe, Vincent Mortet, Ken Haenen, Tokuyuki Teraji, Satoshi Koizumi
- article
- Materials Research Society (MRS) Fall Meeting Diamond Symposium, Dec 2009, Boston, United States
- Accès au bibtex
-
- titre
- Hands-on Nanosciences
- auteur
- Joël Chevrier, Annie Luciani, Florence Marchi, Jean-Loup Florens
- article
- COGIS 2009 - COGnitive systems with Interactive Sensors, Nov 2009, Paris, France. pp.x-x
- Accès au texte intégral et bibtex
-
- titre
- Mapping of the Quantum Efficiency of a Superconducting Single Electron Detector
- auteur
- Adrian Lupascu, Andreas Emmert, Michel Brune, Gilles Nogues, Michael Rosticher, F.-R. Ladan, J.-P. Maneval, J.-C. Villegier
- article
- IEEE Toronto International Conference on Science and Technology for Humanity, Sep 2009, TORONTO, Canada. pp.1011
- Accès au bibtex
-
- titre
- Generation and Control of Hot Spots on Commensurate Arrangements of Deep Metallic Grooves
- auteur
- Aude Barbara
- article
- Summer school on Plasmonics, Sep 2009, France
- Accès au bibtex
-
- titre
- Single crystal growth of BiMnO3 under high pressure-high temperature
- auteur
- Pierre Bordet, Céline Darie, Céline Goujon, Virginie Simonet, Pierre Toulemonde, Murielle Legendre, Tania Mendonca, Michelle Alvarez-Murga, Pierre Bouvier, Jens Kreisel, Mohammed Mezouar
- article
- 47th Meeting of the European-High-Pressure-Research-Group (EHPRG 47), Sep 2009, Paris, France
- Accès au bibtex
-
- titre
- Organic nanocrystals confined in sol-gel matrix for bio-sensing and bio-imaging
- auteur
- Cécile Philippot, Fabien Dubois, Alain Ibanez
- article
- XV international sol-gel conference, Aug 2009, Porto de Galinhas, Brazil
- Accès au bibtex
-
- titre
- Organic Fluorescent Nanocrystals for Labelling and Bioimaging.
- auteur
- Emilie Dubuisson, Cécile Philippot, Fabien Dubois, Alain Ibanez
- article
- 17 th Conference on Composite nano Engineering (ICCE-17) , Jul 2009, Hawaii, United States
- Accès au bibtex
-
- titre
- Direct Method of Characterization of Self-Doubling
- auteur
- Patricia Segonds, Benoit Boulanger, Simon Joly, Yannick Petit, Gérard Aka, Bertrand Ménaert, Corinne Felix
- article
- International Laser Physics Workshop 2009, Jul 2009, Barcelona, Spain
- Accès au bibtex
-
- titre
- Theoritical study of a laser cavity with a spherical gain medium
- auteur
- Corinne Felix, Patricia Segonds, Simon Joly, Benoit Boulanger, Yannick Petit
- article
- International Laser Physics Workshop 2009, Jul 2009, Barcelone, Spain
- Accès au bibtex
-
- titre
- Triple photons generation : toward a new state of light
- auteur
- Audrey Dot, Kamel Bencheikh, Benoit Boulanger, Juan Ariel Levenson, Patricia Segonds, Corinne Felix
- article
- Non Linear Optics (NLO), Jul 2009, Hawaii, United States
- Accès au bibtex
-
- titre
- Structural characterization of D2 adsorbed on ordered microporous carbons nanocasted from FAU and EMT zeolite templates
- auteur
- J. Parmentier, Claire Ducrot-Boisgontier, Joël Patarin, L. Duclaux, Véronique Bernardet, L.A Solovyov, Olivier Isnard
- article
- Carbon'09, Jun 2009, Biarritz, France
- Accès au bibtex
-
- titre
- Bragg diffraction regime in thin semiconductor 2D refractive index gratings
- auteur
- Qiong He, Isabelle Zaquine, Régis André, Gérald Roosen, Robert Frey
- article
- Topical Meeting Photorefractive Materials, Effects, and Devices Control of Light and Matter, Jun 2009, Bad-Honnef, Germany. pp.T6-4
- Accès au bibtex
-
- titre
- Contrôle de la localisation et de l'amplification de champs électromagnétiques à la surface des métaux et à l'échelle sub-longueur d 'onde
- auteur
- Aude Barbara
- article
- Workshop on Polaritons, May 2009, France
- Accès au bibtex
-
- titre
- Angular Quasi-Phase-Matched SHG and DFG in a 7%MgO:PPLN Crystal Sphere
- auteur
- Pierre Brand, Benoit Boulanger, Patricia Segonds, Yannick Petit, Corinne Felix, Bertrand Ménaert, Hideki Ishizuki, Takunori Taira
- article
- Advanced Solid-State Photonics (ASSP), Feb 2009, Denver, United States
- Accès au bibtex
-
- titre
- Theory and experiments of Angular Quasi-Phase-Matching
- auteur
- Benoit Boulanger, Pierre Brand, Yannick Petit, Patricia Segonds, Corinne Felix, Bertrand Ménaert, Hideki Ishizuki, Takunori Taira
- article
- Photonics West, Jan 2009, San Jose, United States
- Accès au bibtex
-
- titre
- Diamond for spintronics
- auteur
- Etienne Gheeraert
- article
- NIMS-AIST joint workshop, 2009, Tsukuba, Japan
- Accès au bibtex
-
Brevet
- titre
- Nanocristaux fluorescents enrobés d'une coquille inorganique
- auteur
- Alain Ibanez, Cécile Philippot, Elisabeth Djurado, Noelie Marcellin
- article
- Patent n° : PCT/FR2009/000294. 2009
- Accès au bibtex
-
Thèse
- titre
- Etude des distributions angulaires d'absorption et d'autodoublage de fréquence du cristal biaxe monoclinique YCa4O(BO3)3 dopé avec des ions Nd 3+
- auteur
- Simon Joly
- article
- Physique Atomique [physics.atom-ph]. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude du régime de Purcell pour une boîte quantique unique dans une microcavité semiconductrice. Vers une non-linéarité optique géante.
- auteur
- Mathieu Munsch
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Sondes actives pour l'optique en champ proche à base de nanoparticules isolantes ou de nanodiamants fluorescents
- auteur
- Aurelien Cuche
- article
- Physique Atomique [physics.atom-ph]. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Nano Electro Mechanical Systems and Interactions at the nanoscale
- auteur
- Alessandro Siria
- article
- Physics [physics]. Université Joseph-Fourier - Grenoble I, 2009. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of anisotropic Nd-Fe-B powders from sintered magnets by Hydrogen Decrepitation/Desorption process
- auteur
- Jianjun Luo
- article
- Material chemistry. Université Joseph-Fourier - Grenoble I, 2009. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of corrosion mechanism of new zircaloys
- auteur
- Haixia Zhang
- article
- Material chemistry. Université Joseph-Fourier - Grenoble I, 2009. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure et propriétés de pigments hybrides archéomimétiques
- auteur
- Catherine Dejoie
- article
- Matière Condensée [cond-mat]. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Réalisation de composants unipolaires en diamant pour l'électronique de puissance
- auteur
- Pierre-Nicolas Volpe
- article
- Micro et nanotechnologies/Microélectronique. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude de nouvelles formes de matériaux basés sur le magnésium pour le stockage réversible de grandes quantités d'hydrogène - Effet d'addition d'éléments de transition
- auteur
- Grégory Girard
- article
- Mécanique [physics.med-ph]. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude par spectroscopie optique de la dynamique de spins dans les nanostructures CdMnTe
- auteur
- Thomas Clement
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- ÉTUDE DE L'ÉLABORATION DE NANOCOMPOSITES À BASE DE MAGNESIUM POUR LE STOCKAGE D'HYDROGÈNE PAR BROYAGE À HAUTE ÉNERGIE ET DÉFORMATION PLASTIQUE SÉVÈRE
- auteur
- Daniel Rodrigo Leiva
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Le diamant dopé au bore pour la bioélectronique: Biocompatibilité et Fonctionnalisation
- auteur
- Charles Agnes
- article
- Matériaux. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectroscopie optique de boîtes quantiques de CdSe insérées dans des nanofils de ZnSe
- auteur
- Gregory Sallen
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Growth of (Ge,Mn) nanocolumns on GaAs(100): the role of morphology and co-doping on magnetotransport
- auteur
- Matthieu Jamet, Ing-Song Yu, Thibaut Devillers, André Barski, Pascale Bayle-Guillemaud, Cyrille Beigne, Johan Rothman, Vincent Baltz, Joel Cibert
- article
- 2009
- Accès au texte intégral et bibtex
-
- titre
- Photon correlation spectroscopy on a single quantum dot embedded in a nanowire
- auteur
- Gregory Sallen, Adrien Tribu, Thomas Aichele, Régis André, Catherine Bougerol, Serge Tatarenko, Kuntheak Kheng, Jean-Philippe Poizat
- article
- 2009
- Accès au texte intégral et bibtex
-
- titre
- Dark exciton optical spectroscopy of a semiconducting quantum dot embedded in a nanowire
- auteur
- Gregory Sallen, Adrien Tribu, Thomas Aichele, Régis André, Lucien Besombes, Catherine Bougerol, Serge Tatarenko, Kuntheak Kheng, Jean-Philippe Poizat
- article
- 2009
- Accès au texte intégral et bibtex
-
2008
Article dans une revue
- titre
- High Resolution Spectroscopy on an X-ray Absorption Beamline
- auteur
- Jean-Louis Hazemann, Olivier Proux, Vivian Nassif, Hervé Palancher, Eric Lahera, Cécile da Silva, Aurélien Braillard, Denis Testemale, Marie-Ange Diot, Isabelle Alliot, William Delnet, A. Manceau, Frédéric Gélébart, Marc Morand, Quentin Dermigny, Abhay Shukla
- article
- Journal of Synchrotron Radiation, 2008, 16 (2), pp.283-292. ⟨10.1107/S0909049508043768⟩
- Accès au texte intégral et bibtex
-
- titre
- Ab initio study of boron-hydrogen complexes in diamond and their effect on electronic properties
- auteur
- Amit Kumar, Julien Pernot, Alain Deneuville, Laurence Magaud
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78 (23), pp.235114. ⟨10.1103/PhysRevB.78.235114⟩
- Accès au bibtex
-
- titre
- A High-Temperature Single-Photon Source from Nanowire Quantum Dots
- auteur
- Adrien Tribu, Gregory Sallen, Thomas Aichele, Régis André, Jean-Philippe Poizat, Catherine Bougerol, Serge Tatarenko, Kuntheak Kheng
- article
- Nano Letters, 2008, 8 (12), pp.4326-4329. ⟨10.1021/nl802160z⟩
- Accès au bibtex
-
- titre
- Single domain magnetic helicity and triangular chirality in structurally enantiopure Ba3NbFe3Si2O14
- auteur
- Karol Marty, Virginie Simonet, Eric Ressouche, Rafik Ballou, Pascal Lejay, Pierre Bordet
- article
- Physical Review Letters, 2008, 101 (24), pp.247201. ⟨10.1103/PhysRevLett.101.247201⟩
- Accès au texte intégral et bibtex
-
- titre
- Local detection of X-ray spectroscopies with an in-situ AFM
- auteur
- Mario Rodrigues, Olivier Dhez, Simon Le Denmat, Joël Chevrier, Roberto Felici, Fabio Comin
- article
- Journal of Instrumentation, 2008, 3, pp.P12004. ⟨10.1088/1748-0221/3/12/P12004⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen storage in borohydrides Comparison of hydrolysis conditions of LiBH4, NaBH4 and KBH4
- auteur
- Laetitia Laversenne, Christelle Goutaudier, Rodica Chiriac, Catherine Sigala, Bernard Bonnetot
- article
- Journal of Thermal Analysis and Calorimetry, 2008, 94 (3), pp.785 - 790. ⟨10.1007/s10973-008-9073-4⟩
- Accès au bibtex
-
- titre
- Xocolatlite, Ca2Mn24+Te2O12 center dot H2O, a new tellurate related to kuranakhite: Description and measurement of Te oxidation state by XANES spectroscopy
- auteur
- Pascal V. Grundler, J. Brugger, Nicolas Meisser, Stefan Ansermet, Stacey Borg, Barbara Etschmann, Denis Testemale, Trudy Bolin
- article
- The American Mineralogist, 2008, 93 (11-12), pp.1911-1920. ⟨10.2138/am.2008.2870⟩
- Accès au bibtex
-
- titre
- Charge and Orbital Correlations at and above the Verwey Phase Transition in Magnetite
- auteur
- José-Emilio Lorenzo, C. Mazzoli, Nicolas Jaouen, C. Detlefs, Danny Mannix, Stéphane Grenier, Yves Joly, C. Marin
- article
- Physical Review Letters, 2008, 101 (22), pp.226401. ⟨10.1103/PhysRevLett.101.226401⟩
- Accès au bibtex
-
- titre
- Efficient Bragg diffraction in thin semiconductor two-dimensional gratings
- auteur
- Qiong He, Isabelle Zaquine, Robert Frey, R. André, Gérald Roosen
- article
- Optics Letters, 2008, 33 (23), pp.2868-2870. ⟨10.1364/OL.33.002868⟩
- Accès au texte intégral et bibtex
-
- titre
- Generation and control of hot spots on commensurate metallic gratings
- auteur
- Aude Barbara, Jérôme Le Perchec, Stéphane Collin, Christophe Sauvan, Jean-Luc Pelouard, Tomas Lopez-Rios, Pascal Quemerais
- article
- Optics Express, 2008, 16 (23), pp.19127-19135. ⟨10.1364/OE.16.019127⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal growth, structure and ferromagnetic properties of a Ce3Pt23Si11 single crystal
- auteur
- Christine Opagiste, Carley Paulsen, Elsa Lhotel, Pierre Rodiere, Rose-Marie Galéra, Pierre Bordet, Pascal Lejay
- article
- Journal of Magnetism and Magnetic Materials, 2008, pp.321 (2009) 613. ⟨10.1016/j.jmmm.2008.10.013⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-temperature structure of magnetite studied using resonant x-ray scattering
- auteur
- Yves Joly, José-Emilio Lorenzo, Elena Nazarenko, Jean-Louis Hodeau, Danny Mannix, C. Marin
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78, pp.134110. ⟨10.1103/PhysRevB.78.134110⟩
- Accès au texte intégral et bibtex
-
- titre
- Time resolved nonlinear spectroscopy at the band edge of 1D photonic crystals
- auteur
- Magali Astic, Philippe Delaye, Robert Frey, Gérald Roosen, Régis André, N. Belabas, Isabelle Sagnes, R. Raj
- article
- Journal of Physics D: Applied Physics, 2008, 41 (22), pp.224005. ⟨10.1088/0022-3727/41/22/224005⟩
- Accès au texte intégral et bibtex
-
- titre
- Discussion of Electronic Properties of Quasicrystals
- auteur
- Didier Mayou
- article
- Philosophical Magazine, 2008, 88 (13-15), pp.2209-2215. ⟨10.1080/14786430802307660⟩
- Accès au texte intégral et bibtex
-
- titre
- A new crystal-chemical variation of the alunite-type structure in monoclinic PbZn0.5Fe3(AsO4)(2)(OH)(6)
- auteur
- Ian E. Grey, W. Gus Mumme, Pierre Bordet, Stuart J. Mills
- article
- The Canadian Mineralogist, 2008, 46, pp.1355. ⟨10.3749/canmin.46.5.1355⟩
- Accès au bibtex
-
- titre
- Synchrotron x-ray scattering evidence for interlayer structural coupling in (PbMg1/3Nb2/3O3)(1−x)/(PbTiO3)x superlattices
- auteur
- Nathalie Lemee, Eric Dooryhee, Houssny Bouyanfif, Françoise Le Marrec, Maud Nemoz, Jean-Louis Hodeau, Michael G. Karkut
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78, pp.140102-140105. ⟨10.1103/PhysRevB.78.140102⟩
- Accès au bibtex
-
- titre
- Zr and Hf microalloying in an Al-Y-Fe amorphous alloy. Relation between local structure and glass-forming ability
- auteur
- Anne Sadoc, Maher Sabra, Olivier Proux, Jean-Louis Hazemann, Karyn Spence, Kenneth Franklin Kelton
- article
- Philosophical Magazine, 2008, 88 (17), pp.2569-2582. ⟨10.1080/14786430802385286⟩
- Accès au texte intégral et bibtex
-
- titre
- Formation of an exciton polariton condensate: Thermodynamic versus kinetic regimes
- auteur
- J. Kasprzak, Dimitri Solnyshkov, Régis André, Daniel Le-Si Dang, Guillaume Malpuech
- article
- Physical Review Letters, 2008, 101 (14), pp.146404. ⟨10.1103/PhysRevLett.101.146404⟩
- Accès au bibtex
-
- titre
- Foreword
- auteur
- Jean-Michel Gérard, H. Mariette
- article
- Comptes Rendus. Physique, 2008, 9 (8), pp.775-776. ⟨10.1016/j.crhy.2008.10.020⟩
- Accès au bibtex
-
- titre
- Mapping the superconducting condensate surrounding a vortex in superconducting V3Si using a superconducting MgB2 tip in a scanning tunneling microscope
- auteur
- N. Bergeal, Yves Noat, Tristan Cren, T. Proslier, Vincent Dubost, François Debontridder, A. Zimmers, D. Roditchev, W. Sacks, Jacques Marcus
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78, pp.140507. ⟨10.1103/PhysRevB.78.140507⟩
- Accès au bibtex
-
- titre
- Mechanical mode dependence of bolometric back-action in an AFM microlever
- auteur
- Guillaume Jourdan, Fabio Comin, Joël Chevrier
- article
- Physical Review Letters, 2008, 101 (13), pp.133904. ⟨10.1103/PhysRevLett.101.133904⟩
- Accès au texte intégral et bibtex
-
- titre
- Correlated pressure effects on the structure and superconductivity of LaFeAsO 0.9 F 0.1
- auteur
- G. Garbarino, Pierre Toulemonde, M. Alvarez-Murga, A. Sow, M. Mezouar, M. Núñez-Regueiro
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78 (10), pp.100507. ⟨10.1103/PhysRevB.78.100507⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of magnesium doping on the orbital and magnetic order in LiNiO2
- auteur
- M. Bonda, M. Hopzapfel, Sophie de Brion, Céline Darie, T. Feher, P.J. Baker, T. Lancaster, S.J. Blundell, F.L. Pratt
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78 (10), pp.104409. ⟨10.1103/PhysRevB.78.104409⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical probing of spin fluctuations of a single paramagnetic Mn atom in a semiconductor quantum dot
- auteur
- L. Besombes, Y. Leger, J. Bernos, H. Boukari, H. Mariette, J.P. Poizat, T. Clement, J. Fernández-Rossier, R. Aguado
- article
- Physical Review B, 2008, 78 (12), pp.125324. ⟨10.1103/PhysRevB.78.125324⟩
- Accès au bibtex
-
- titre
- Quantized vortices in an exciton–polariton condensate
- auteur
- K. Lagoudakis, M. Wouters, Murielle Richard, A. Baas, I. Carusotto, R. André, Le Si Dang, B. Deveaud-Plédran
- article
- Nature Physics, 2008, 4 (9), pp.706-710. ⟨10.1038/nphys1051⟩
- Accès au bibtex
-
- titre
- From diluted magnetic semiconductors to self-organized nanocolumns of GeMn in Germanium
- auteur
- Samuel Tardif, Ing-Song Yu, Thibaut Devillers, Mathieu Jamet, Salia Cherifi, Joel Cibert, André Barski, Pascale Bayle-Guillemaud, Edith Bellet-Amalric
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2008, Spintronics, 7036, pp.703615. ⟨10.1117/12.798296⟩
- Accès au texte intégral et bibtex
-
- titre
- Deep levels in homoepitaxial boron-doped diamond films studied by capacitance transient spectroscopies
- auteur
- Pierre Muret, Julien Pernot, Tokuyuki Teraji, Toshimishi Ito
- article
- physica status solidi (a), 2008, 205 (9), pp.2179-2183. ⟨10.1002/pssa.200879725⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of ECR etching conditions of (100)Ib diamond substrates on homoepitaxial low boron doped diamond layer quality
- auteur
- Pierre-Nicolas Volpe, Pierre Muret, Franck Omnès
- article
- physica status solidi (a), 2008, 205, pp.2173. ⟨10.1002/pssa.200879724⟩
- Accès au bibtex
-
- titre
- Magnetic and electronic Co states in the layered cobaltate GdBaCo2O5.5−x
- auteur
- M. Garcia-Fernandez, V. Scagnoli, U. Staub, A. M. Mulders, M. Janousch, Y. Bodenthin, Stéphane Grenier, D. Meister, B. D. Patterson, A. Mirone, Y. Tanaka, T. Nakamura, Yue Huang, K. Conder
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78 (5), pp.054424. ⟨10.1103/PhysRevB.78.054424⟩
- Accès au bibtex
-
- titre
- Highly doped Si and Ge formed by GILD (gas immersion laser doping); from GILD to superconducting silicon
- auteur
- D. Cammilleri, Frédéric Fossard, Dominique Débarre, C. Tran Manh, Christiane Dubois, Etienne Bustarret, C. Marcenat, Philipp Achatz, D. Bouchier, Jacques Boulmer
- article
- Thin Solid Films, 2008, 517, pp.75. ⟨10.1016/j.tsf.2008.08.073⟩
- Accès au bibtex
-
- titre
- The solubility of nantokite (CuCl(s)) and Cu speciation in low-density fluids near the critical isochore: An in-situ XAS study
- auteur
- Weihua Liu, Joel Brugger, Barbara Etschmann, Denis Testemale, Jean-Louis Hazemann
- article
- Geochimica et Cosmochimica Acta, 2008, 72 (16), pp.4094-4106. ⟨10.1016/j.gca.2008.05.056⟩
- Accès au bibtex
-
- titre
- Stability of B-H and B-D complexes in diamond under electron beam excitation
- auteur
- Julien Barjon, A. Mehdaoui, François Jomard, Jacques Chevallier, Christine Mer-Calfati, Milos Nesladek, P. Bergonzo, Julien Pernot, Franck Omnès, Alain Deneuville
- article
- Applied Physics Letters, 2008, 93, pp.062108. ⟨10.1063/1.2965115⟩
- Accès au texte intégral et bibtex
-
- titre
- Electron mobility in phosphorous doped {111} homoepitaxial diamond
- auteur
- Julien Pernot, Satoshi Koizumi
- article
- Applied Physics Letters, 2008, 93 (5), pp.052105. ⟨10.1063/1.2969066⟩
- Accès au bibtex
-
- titre
- Intrinsic Decoherence Mechanisms in the Microcavity Polariton Condensate
- auteur
- A. Love, D. Krizhanovskii, D. Whittaker, R. Bouchekioua, D. Sanvitto, S. Al Rizeiqi, R. Bradley, M. Skolnick, P. Eastham, R. Andre, Le Si Dang
- article
- Physical Review Letters, 2008, 101 (6), pp.067404. ⟨10.1103/PhysRevLett.101.067404⟩
- Accès au bibtex
-
- titre
- Anisotropic magneto-optical effects in CdTe / Cd 0.75 Mn 0.25 Te quantum wire structures
- auteur
- Yukihiro Harada, Takashi Kita, Osamu Wada, Hiroaki Ando, H. Mariette
- article
- Physical Review B, 2008, 78 (7), pp.073304. ⟨10.1103/PhysRevB.78.073304⟩
- Accès au bibtex
-
- titre
- Motion-dependent magnetic properties of excitons in CdTe
- auteur
- L. Smith, J. Davies, D. Wolverson, S. Crampin, R. Cox, Joel Cibert, H. Mariette, V. Kochereshko, M. Wiater, G. Karczewski, T. Wojtowicz
- article
- Physical Review B, 2008, 78 (8), pp.085204. ⟨10.1103/PhysRevB.78.085204⟩
- Accès au bibtex
-
- titre
- X-ray Absorption Spectroscopy Study of Solvation and Ion-Pairing in Aqueous Gallium Bromide Solutions at Supercritical Conditions
- auteur
- Cécile da Silva, Olivier Proux, Jean-Louis Hazemann, Julianne James-Smith, Denis Testemale, T. Yamaguchi
- article
- Journal of Molecular Liquids, 2008, 147, pp.83-95. ⟨10.1016/j.molliq.2008.06.022⟩
- Accès au texte intégral et bibtex
-
- titre
- Kinetics of Circular Polarization in p-Doped Single Quantum Dots
- auteur
- Yoan Léger, L. Besombes, Laurent Maingault, H. Mariette
- article
- Journal of the Korean Physical Society, 2008, 53 (1), pp.127-132. ⟨10.3938/jkps.53.127⟩
- Accès au bibtex
-
- titre
- Molecular beam epitaxy growth of ZnTe/ZnSe Type-II quantum dots
- auteur
- Rita Najjar, Régis André, Lucien Besombes, Catherine Bougerol, H. Mariette, Serge Tatarenko
- article
- Journal of the Korean Physical Society, 2008, 53 (1), pp.137-140. ⟨10.3938/jkps.53.137⟩
- Accès au bibtex
-
- titre
- A portable instrument for in situ determination of the chemical and phase compositions of cultural heritage objects
- auteur
- Alexandra Gianoncelli, Jacques Castaing, Luc Ortega, Eric Dooryhee, Joseph Salomon, Philippe Walter, Jean-Louis Hodeau, Pierre Bordet
- article
- X-Ray Spectrometry, 2008, 37 (4), pp.418-423. ⟨10.1002/xrs.1025⟩
- Accès au bibtex
-
- titre
- Control of non-Markovian effects in the dynamics of polaritons in semiconductor microcavities
- auteur
- F. Rodríguez, L. Quiroga, C. Tejedor, M. Martín, L. Viña, R. Andre
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78 (3), pp.035312. ⟨10.1103/PhysRevB.78.035312⟩
- Accès au texte intégral et bibtex
-
- titre
- A high-energy inelastic neutron scattering investigation of the Gd-Co exchange interactions in GdCo4B: Comparison with density-functional calculations
- auteur
- Olivier Isnard, Michael D. Kuz'Min, Manuel Richter, Michael Loewenhaupt, Robert Bewley
- article
- Journal of Applied Physics, 2008, 104, pp.3922. ⟨10.1063/1.2953099⟩
- Accès au texte intégral et bibtex
-
- titre
- Spontaneous emission spectrum of a two-level atom in a very-high-Q cavity
- auteur
- Alexia Auffèves, Benjamin Besga, Jean-Michel Gérard, Jean-Philippe Poizat
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2008, 77 (6), pp.063833. ⟨10.1103/PhysRevA.77.063833⟩
- Accès au bibtex
-
- titre
- Hidden magnetic frustration by quantum relaxation in anisotropic Nd-langasite
- auteur
- Virginie Simonet, Rafik Ballou, Julien Robert, Benjamin Canals, Françoise Hippert, Pierre Bordet, Pascal Lejay, Peter Fouquet, Jacques Ollivier, Daniel Braithwaite
- article
- Physical Review Letters, 2008, 100, pp.237204. ⟨10.1103/PhysRevLett.100.237204⟩
- Accès au texte intégral et bibtex
-
- titre
- Polariton relaxation after resonant pumping at the upper polariton branch under doubly-resonant Raman scattering conditions
- auteur
- M. Martín, D. Ballarini, A. Amo, L. Viña, R. Andre
- article
- physica status solidi (b), 2008, 245 (6), pp.1081-1084. ⟨10.1002/pssb.200777621⟩
- Accès au bibtex
-
- titre
- Influence of hydrogenation on structure and magnetic properties of HoFe11−xCoxTi
- auteur
- N. Tajabor, M.R. Alinejad, L. Motevalizadeh, D. Fruchart, E.K. Hlil, D. Gignoux, S. Miraglia
- article
- Journal of Alloys and Compounds, 2008, 458 (1-2), pp.91-95. ⟨10.1016/j.jallcom.2007.04.213⟩
- Accès au bibtex
-
- titre
- XAS characterisation of xenon bubbles in uranium dioxide
- auteur
- P. Martin, P. Garcia, G. Carlot, C. Sabathier, C. Valot, V. Nassif, O. Proux, J.-L. Hazemann
- article
- Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 2008, 266 (12-13), pp.2887 - 2891. ⟨10.1016/j.nimb.2008.03.180⟩
- Accès au bibtex
-
- titre
- Phase diagram for condensation of microcavity polaritons: From theory to practice
- auteur
- F. Marchetti, M. Szymańska, J. Keeling, J. Kasprzak, R. Andre, P. Littlewood, Daniel Le Si Dang
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77 (23), pp.235313. ⟨10.1103/PhysRevB.77.235313⟩
- Accès au bibtex
-
- titre
- Publisher's Note: “Low residual doping level in homoepitaxially grown ZnO layers” [Appl. Phys. Lett. 92, 141101 (2008)]
- auteur
- I. Robin, A. Ribeaud, S. Brochen, G. Feuillet, P. Ferret, H. Mariette, D. Ehrentraut, T. Fukuda
- article
- Applied Physics Letters, 2008, 92 (20), pp.209904. ⟨10.1063/1.2932096⟩
- Accès au bibtex
-
- titre
- Electronic transport in AlMn(Si) and AlCuFe quasicrystals: Break-down of the semiclassical model
- auteur
- Guy Trambly de Laissardière, Jean-Pierre Julien, Didier Mayou
- article
- Philosophical Magazine B, 2008, 88 (13-15), pp.2131-2144. ⟨10.1080/14786430801894544⟩
- Accès au texte intégral et bibtex
-
- titre
- Double superconducting transition in the filled skutterudite PrOs4Sb12 and sample characterizations
- auteur
- Marie-Aude Méasson, Daniel Braithwaite, Gérard Lapertot, Jean-Pascal Brison, Jacques Flouquet, Pierre Bordet, Hitoshi Sugawara, Paul C. Canfield
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77, pp.134517. ⟨10.1103/PhysRevB.77.134517⟩
- Accès au texte intégral et bibtex
-
- titre
- Superconducting diamond : an introduction
- auteur
- Etienne Bustarret
- article
- physica status solidi (a), 2008, 205, pp.997-1008. ⟨10.1002/pssa.200777501⟩
- Accès au bibtex
-
- titre
- Enhanced adsorption of arsenic onto maghmemites nanoparticles : As(III) as a probe of the surface structure and heterogeneity.
- auteur
- M. Auffan, Jérôme Rose, Olivier Proux, D. Borschneck, Armand Masion, P. Chaurand, Jean-Louis F Hazemann, Corinne Chanéac, J. P. Jolivet, M. R. Wiesner, Geen A. Van, J. Y. Bottero
- article
- Langmuir, 2008, 24 (7), pp.3215-3222. ⟨10.1021/la702998x⟩
- Accès au bibtex
-
- titre
- Depth Magnetization Profile of a Perpendicular Exchange Coupled System by Soft-X-Ray Resonant Magnetic Reflectivity
- auteur
- Jean-Marc Tonnerre, Maurizio de Santis, Stéphane Grenier, Hélio Tolentino, Véronique Langlais, E. Bontempi, M. García-Fernández, U. Staub
- article
- Physical Review Letters, 2008, 100 (15), pp.157202. ⟨10.1103/PhysRevLett.100.157202⟩
- Accès au bibtex
-
- titre
- Nature of metal-nonmetal transition in metal-ammonia solutions. II. From uniform metallic state to inhomogeneous electronic microstructure
- auteur
- Gennady N. Chuev, Pascal Quemerais
- article
- Journal of Chemical Physics, 2008, 128 (14), pp.144503. ⟨10.1063/1.2883695⟩
- Accès au bibtex
-
- titre
- Easy-Axis Kagome Antiferromagnet: Local-Probe Study of Nd3Ga5SiO14
- auteur
- A. Zorko, F. Bert, P. Mendels, Pierre Bordet, Pascal Lejay
- article
- Physical Review Letters, 2008, 100 (14), pp.147201. ⟨10.1103/PhysRevLett.100.147201⟩
- Accès au bibtex
-
- titre
- Conducting carbon nanopatterns (nanowire) by energetic ion irradiation
- auteur
- Amit Kumar, F. Singh, A. Tripathi, Julien Pernot, Jean Claude Pivin, Devesh Kumar Avasthi
- article
- Journal of Physics D: Applied Physics, 2008, 41 (9), pp.095304. ⟨10.1088/0022-3727/41/9/095304⟩
- Accès au bibtex
-
- titre
- Pyrochlore formation, phase relations, and properties in the CaO-TiO2-(Nb,Ta)(2)O-5 systems
- auteur
- Robert S. Roth, Terrell A. Vanderah, Pierre Bordet, Ian E. Grey, W. Gus Mumme, L. Cai, J.C. Nino
- article
- Journal of Solid State Chemistry, 2008, 181 (3), pp.406. ⟨10.1016/j.jssc.2007.12.005⟩
- Accès au bibtex
-
- titre
- Oxidation kinetics of Ni metallic films: formation of NiO-based resistive switching structures
- auteur
- L. Courtade, Ch. Turquat, Christophe Muller, J.G. Lisoni, L. Goux, D.J. Wouters, D. Goguenheim, Pascal Roussel, Luc Ortega
- article
- Thin Solid Films, 2008, 516 (12), pp.4083-4092. ⟨10.1016/j.tsf.2007.09.050⟩
- Accès au texte intégral et bibtex
-
- titre
- Synchronized and Desynchronized Phases of Exciton-Polariton Condensates in the Presence of Disorder
- auteur
- A. Baas, K. Lagoudakis, Murielle Richard, R. Andre, Le Si Dang, B. Deveaud-Plédran
- article
- Physical Review Letters, 2008, 100 (17), pp.170401. ⟨10.1103/PhysRevLett.100.170401⟩
- Accès au bibtex
-
- titre
- On Light Addressing Within Subwavelength Metallic Gratings
- auteur
- Jérôme Le Perchec, Pascal Quemerais, Aude Barbara
- article
- Journal of Lightwave Technology, 2008, 26 (6), pp.638-642. ⟨10.1109/JLT.2007.916441⟩
- Accès au bibtex
-
- titre
- Local density of states in mesoscopic samples from scanning gate microscopy
- auteur
- M. G. Pala, B. Hackens, F. Martins, Hermann Sellier, V. Bayot, Serge Huant, Thierry Ouisse
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77, pp.125310. ⟨10.1103/PhysRevB.77.125310⟩
- Accès au bibtex
-
- titre
- 25-nm diamond crystals hosting single NV color centers sorted by photon-correlation near-field microscopy
- auteur
- Yannick Sonnefraud, Aurelien Cuche, Orestis Faklaris, Jean-Paul Boudou, Thierry Sauvage, Jean-François Roch, François Treussart, Serge Huant
- article
- Optics Letters, 2008, 33 (6), pp.611. ⟨10.1364/OL.33.000611⟩
- Accès au texte intégral et bibtex
-
- titre
- High pressure behavior of CsC8 graphite intercalation compound
- auteur
- N. Rey, Pierre Toulemonde, D. Machon, L. Duclaux, S. Le Floch, V. Pischedda, J.P. Itié, A.-M. Flank, P. Lagarde, W.A. Chrichton, M. Mezouar, T. Strässle, D. Sheptyakov, Gilles Montagnac, A. San-Miguel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77 (12), pp.125433. ⟨10.1103/PhysRevB.77.125433⟩
- Accès au texte intégral et bibtex
-
- titre
- Gilded Medieval Islamic glazed ceramics : Production process and evolution in the Iranian World (12th-13th c.) and the Timurid Empire (14th-15th c.)
- auteur
- Claire Pacheco, Rémy Chapoulie, Eric Dooryhee, Marc Aucouturier, A. Bouquillon, Sophie Makariou, Delphine Miroudot
- article
- Surface Engineering, 2008, 24 (2), pp.121-128. ⟨10.1179/174329408X286097⟩
- Accès au bibtex
-
- titre
- Near-Surface Defects in Boron-Doped Diamond Schottky Diodes Studied From Capacitance Transients
- auteur
- Pierre Muret, Julien Pernot, Tokuyuki Teraji, Toshimichi Ito
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2008, 1, pp.035003. ⟨10.1143/APEX.1.035003⟩
- Accès au bibtex
-
- titre
- Oligomeric PEG-Phospholipids for Solubilization and Stabilization of Fluorescent Nanocrystals in Water
- auteur
- Nathalie Travert-Branger, Fabien Dubois, Olivier Carion, Géraldine Carrot, Benoit Mahler, Benoit Dubertret, Eric Doris, Charles Mioskowski
- article
- Langmuir, 2008, 24 (7), pp.3016-3019. ⟨10.1021/la702724b⟩
- Accès au bibtex
-
- titre
- Doping-induced metal-insulator transition in aluminum-doped 4H silicon carbide
- auteur
- Philipp Achatz, Julien Pernot, C. Marcenat, Jozef Kacmarcik, Gabriel Ferro, Etienne Bustarret
- article
- Applied Physics Letters, 2008, 92, pp.072103. ⟨10.1063/1.2885081⟩
- Accès au texte intégral et bibtex
-
- titre
- Formation of collective spins in frustrated clusters
- auteur
- Julien Robert, Virginie Simonet, Benjamin Canals, Rafik Ballou, Elsa Lhotel, Céline Darie, Pierre Bordet, Bachir Ouladdiaf, Marc Johnson, Jacques Ollivier, Daniel Braithwaite, Harison Rakoto, Sophie de Brion
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77, pp.054421. ⟨10.1103/PhysRevB.77.054421⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatial fluctuations of optical emission from single ZnO/MgZnO nanowire quantum wells
- auteur
- C. Czekalla, J. Guignard, C. Hanisch, B.Q. Cao, E.M. Kaidashev, N. Boukos, A. Travlos, J. Renard, B. Gayral, Daniel Le Si Dang, M. Lorenz, M. Grundmann
- article
- Nanotechnology, 2008, 19, pp.115202. ⟨10.1088/0957-4484/19/11/115202⟩
- Accès au bibtex
-
- titre
- Why metallic surfaces with grooves a few nanometers deep and wide may strongly absorb visible light
- auteur
- Jérôme Le Perchec, Pascal Quemerais, Aude Barbara, Tomas Lopez-Rios
- article
- Physical Review Letters, 2008, 100 (6), pp.066408. ⟨10.1103/PhysRevLett.100.066408⟩
- Accès au bibtex
-
- titre
- Second-Order Time Correlations within a Polariton Bose-Einstein Condensate in a CdTe Microcavity
- auteur
- Jacek Kasprzak, Murielle Richard, A. Baas, B. Deveaud, Régis André, Jean-Philippe Poizat, Daniel Le Si Dang
- article
- Physical Review Letters, 2008, 100, pp.067402. ⟨10.1103/PhysRevLett.100.067402⟩
- Accès au bibtex
-
- titre
- n-type phosphorus-doped polycrystalline diamond on silicon substrates
- auteur
- Slimane Ghodbane, Franck Omnès, Etienne Bustarret, Céline Tavares, François Jomard
- article
- Diamond and Related Materials, 2008, 17, pp.1324. ⟨10.1016/j.diamond.2008.01.090⟩
- Accès au texte intégral et bibtex
-
- titre
- Cultural heritage materials : structural and spectroscopic evidence for ancient technologies
- auteur
- Eric Dooryhee, Philippe Colomban
- article
- Phase Transitions, 2008, 81 (2-3), pp.139-144. ⟨10.1080/01411590701578602⟩
- Accès au bibtex
-
- titre
- Determination of zinc speciation in basic oxygen furnace flying dust by chemical extractions and X-ray spectroscopy
- auteur
- M. L. Sammut, Jérôme Rose, A. Masion, E. Fiani, M. Depoux, A. Ziebel, J. L. Hazemann, O. Proux, D. Borschneck, Y. Noack
- article
- Chemosphere, 2008, 70 (11), pp.1945-1951. ⟨10.1016/j.chemosphere.2007.09.063⟩
- Accès au bibtex
-
- titre
- Intensity beats on RHEED oscillations during MBE growth of ZnTe
- auteur
- R. Najjar, R. Andre, H. Boukari, H. Mariette, S. Tatarenko
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2008, 602 (3), pp.744-746. ⟨10.1016/j.susc.2007.12.002⟩
- Accès au bibtex
-
- titre
- Doping-induced anisotropic lattice strain in homoepitaxial heavily boron-doped diamond
- auteur
- T. Wojewoda, Philipp Achatz, Luc Ortega, Franck Omnès, C. Marcenat, Emmanuel Bourgeois, Xavier Blase, François Jomard, Etienne Bustarret
- article
- Diamond and Related Materials, 2008, 17, pp.1302. ⟨10.1016/j.diamond.2008.01.040⟩
- Accès au texte intégral et bibtex
-
- titre
- Anomalous electric-field effect and glassy behaviour in granular aluminium: electron glass?
- auteur
- Thierry Grenet, Julien Delahaye, Maher Sabra, Frédéric Gay
- article
- physica status solidi (c), 2008, 5 (3), pp.680-683. ⟨10.1002/pssc.200777561⟩
- Accès au bibtex
-
- titre
- Crossed-Ratchet Effects for Magnetic Domain Wall Motion
- auteur
- A. Perez-Junquera, V.I. Marconi, A.B. Kolton, L.M. Alvarez-Prado, Yves Souche, A. Alija, M. Vélez, J.V. Anguita, J.M. Alameda, J.I. Martin, J.M.R. Parrondo
- article
- Physical Review Letters, 2008, 100, pp.037203. ⟨10.1103/PhysRevLett.100.037203⟩
- Accès au texte intégral et bibtex
-
- titre
- TEM characterization of organic nanocrystals grown in sol-gel thin films
- auteur
- Virginie Monnier, Maria Bacia, Jean-Luc Putaux, Alain Ibanez
- article
- Journal of Nanoparticle Research, 2008, 10 (1), pp.129-139. ⟨10.1007/s11051-007-9228-4⟩
- Accès au bibtex
-
- titre
- Room-temperature optical orientation of the exciton spin in cubic Ga N ∕ Al N quantum dots
- auteur
- D. Lagarde, A. Balocchi, H. Carrère, P. Renucci, T. Amand, X. Marie, S. Founta, H. Mariette
- article
- Physical Review B, 2008, 77 (4), pp.041304. ⟨10.1103/PhysRevB.77.041304⟩
- Accès au bibtex
-
- titre
- Pressure-induced deformation of the C 60 fullerene in Rb 6 C 60 and Cs 6 C 60
- auteur
- R. Poloni, M. Fernandez-Serra, Sylvie Le Floch, S. de Panfilis, Pierre Toulemonde, D. Machon, W. Crichton, S. Pascarelli, A. San-Miguel
- article
- Physical Review B, 2008, 77 (3), pp.035429. ⟨10.1103/PhysRevB.77.035429⟩
- Accès au bibtex
-
- titre
- Defect Induced Intrinsic Ferromagnetism in Fullerene Thin Films
- auteur
- Amit Kumar, Devesh Kumar K. Avasthi, Jean Claude Pivin
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2008, 1 (12), pp.125002. ⟨10.1143/APEX.1.125002⟩
- Accès au bibtex
-
- titre
- Synthesis and structure of new pyrochlore-type oxides Ln2ScNbO7 (Ln = Pr, Nd, Eu, Gd, Dy)
- auteur
- Siwar Zouari, Rafik Ballou, Abdelwaheb Cheikh-Rouhou, Pierre Strobel
- article
- Materials Letters, 2008, 62, pp.3767. ⟨10.1016/j.matlet.2008.04.060⟩
- Accès au texte intégral et bibtex
-
- titre
- Organic nanocrystals grown in sol–gel matrices: new hybrid organic–inorganic materials for optics
- auteur
- Virginie Monnier, Emilie Dubuisson, Nathalie Sanz, Alain Ibanez
- article
- Research on Chemical Intermediates, 2008, 34, pp.155 - 167. ⟨10.1163/156856708783623492⟩
- Accès au texte intégral et bibtex
-
- titre
- High pressure synthesis of BiCrO3, a candidate for multiferroism
- auteur
- Céline Goujon, Céline Darie, Maria Bacia, Holger Klein, Luc Ortega, Pierre Bordet
- article
- Journal of Physics: Conference Series, 2008, 121, pp.022009. ⟨10.1088/1742-6596/121/2/022009⟩
- Accès au bibtex
-
- titre
- Topotactic synthesis, structure and magnetic properties of a new hexagonal polytype of silver cobaltate(III) AgCoO2+δ
- auteur
- Hervé Muguerra, Claire Colin, Michel Anne, M. -H. Julien, Pierre Strobel
- article
- Journal of Solid State Chemistry, 2008, 181, pp.2883. ⟨10.1016/j.jssc.2008.07.031⟩
- Accès au texte intégral et bibtex
-
- titre
- In situ X-ray absorption spectroscopy measurement of vapour-brine fractionation of antimony at hydrothermal conditions
- auteur
- Gleb S. Pokrovski, Jacques Roux, Jean-Louis Hazemann, Anastassia Yu Borisova, A. A. Gonchar, M. P. Lemeshko
- article
- Mineralogical Magazine, 2008, 72 (2), pp.667-681. ⟨10.1180/minmag.2008.072.2.627⟩
- Accès au bibtex
-
- titre
- The role of strain-induced structural changes in the metal insulator transition in epitaxial SmNiO3 films
- auteur
- Florine Conchon, Alexandre Boulle, René Guinebretière, Eric Dooryhee, Jean-Louis Hodeau, C. Girardot, S. Pignard, J. Kreisel, F. Weiss
- article
- Journal of Physics: Condensed Matter, 2008, 20, pp.145216-1-145216-7. ⟨10.1088/0953-8984/20/14/145216⟩
- Accès au bibtex
-
- titre
- Effect of mixed alkali-element substitution on structural and magnetic properties of praseodymium manganites Pr0.9(Na1-xKx)0.1MnO3
- auteur
- Siwar Zouari, Laurent Ranno, Abdelwaheb Cheikh-Rouhou, Pierre Strobel
- article
- Journal of Alloys and Compounds, 2008, 452 (249), (accepté le 17/7/2007). ⟨10.1016/j.allcom.2007.07.048⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterisation of trapped electric charge carriers behaviour at nanometer scale by electrostatic force microscopy
- auteur
- Florence Marchi, Raphaelle Dianoux, Henke Jan Smilde, Pierre Mur, Fabio Comin, Joël Chevrier
- article
- Journal of Electrostatics, 2008, pp.1-10. ⟨10.1016/j.elstat.2008.06.006⟩
- Accès au bibtex
-
- titre
- Design of high frequency GaPO4 BAW resonators by chemical etching
- auteur
- N. Prud'Homme, V. Flaud, Philippe Papet, Olivier Cambon, Julien Zaccaro, Alain Ibanez
- article
- Sensors and Actuators B: Chemical, 2008, 131, pp.270-278. ⟨10.1016/j.snb.2007.11.020⟩
- Accès au bibtex
-
- titre
- Changes in arsenic speciation through a contaminated soil profile: A XAS based study
- auteur
- B. Cances, F. Juillot, G. Morin, Valérie Laperche, D. Polya, D.J. Vaughan, Jean-Louis Hazemann, O. Proux, G.E. Brown Jr., G. Calas
- article
- Science of the Total Environment, 2008, 397, pp.178-189. ⟨10.1016/j.scitotenv.2008.02.023⟩
- Accès au bibtex
-
- titre
- Insights into the varnishes of historical musical instruments using synchrotron micro-analytical methods
- auteur
- Jean-Philippe Echard, Marine Cotte, Eric Dooryhee, Loïc Bertrand
- article
- Applied physics. A, Materials science & processing, 2008, A92, pp.77-81. ⟨10.1007/s00339-008-4449-7⟩
- Accès au bibtex
-
- titre
- Triple photon generation : comparison between theory and experiment
- auteur
- Fabien Gravier, Benoit Boulanger
- article
- Journal of the Optical Society of America B, 2008, 25 (1), pp.98-102. ⟨10.1364/JOSAB.25.000098⟩
- Accès au bibtex
-
- titre
- High-pressure phase transition in Rb6C60
- auteur
- R. Poloni, G. Aquilanti, Pierre Toulemonde, S. Pascarelli, S. Le Floch, D. Machon, D. Martinez-Blanco, Guillaume Morard, A. San-Miguel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77, pp.205433. ⟨10.1103/PhysRevB.77.205433⟩
- Accès au bibtex
-
- titre
- Structural and magnetic properties of the (Ca1-xNax)(Fe2-xTix)O4 solid solution (0 x 1)
- auteur
- Siwar Zouari, Laurent Ranno, Abdelwaheb Cheikh-Rouhou, Olivier Isnard, Pierre Wolfers, Pierre Bordet, Pierre Strobel
- article
- Journal of Alloys and Compounds, 2008, 452 (2), pp.234-240. ⟨10.1016/j.jallcom.2007.01.074⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal structure of lanthanum triiodate iodic acid La[IO3]3[HIO3]
- auteur
- Mohamed Benabdallah Taouti, Aissa Gacemi, Djamal Benbertal, Isabelle Gautier-Luneau
- article
- Zeitschrift für Kristallographie - New Crystal Structures, 2008, 223, pp.179-180. ⟨10.1524/ncrs.2008.0074⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of strain relaxation mechanisms and transport properties in epitaxial SmNiO3 films
- auteur
- Florine Conchon, Alexandre Boulle, René Guinebretière, Eric Dooryhee, Jean-Louis Hodeau, C. Girardot, S. Pignard, J. Kreisel, F. Weiss, L. Libralesso, T.L. Lee
- article
- Journal of Applied Physics, 2008, 103, pp.123501-1-123501-8. ⟨10.1063/1.2938845⟩
- Accès au bibtex
-
- titre
- Absorption and fluorescence anisotropies of monoclinic crystals : the case of Nd:YCOB
- auteur
- Yannick Petit, Benoit Boulanger, Patricia Segonds, Corinne Felix, Bertrand Ménaert, Julien Zaccaro, Gérard Aka
- article
- Optics Express, 2008, 16 (11), pp.7997-8002. ⟨10.1364/OE.16.007997⟩
- Accès au bibtex
-
- titre
- Oxygen intake in ion irradiated fullerene films
- auteur
- A. Kumar, S.A. Khan, M. Kumar, D. C. Agarwal, F. Singh, A. Tripathi, G. Govind, S. M. Shivaprasad, J. Salomon, L. Pichon, J. C. Pivin, D. K. Avasthi
- article
- Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 2008, 266, pp.1709-1712. ⟨10.1016/j.nimb.2007.12.085⟩
- Accès au bibtex
-
- titre
- Structural relaxation around substitutional Cr3+ in pyrope garnet
- auteur
- Amélie Juhin, Georges Calas, Delphine Cabaret, Laurence Galoisy, Jean-Louis Hazemann
- article
- The American Mineralogist, 2008, 93 (5-6), pp.800-805. ⟨10.2138/am.2008.2823⟩
- Accès au texte intégral et bibtex
-
- titre
- Kinetics and mechanisms of iron redox reactions in silicate melts: The effects of temperature and alkali cations
- auteur
- V. Magnien, D.R. Neuville, L. Cormier, J. Roux, Jean-Louis Hazemann, D. de Ligny, S. Pascarelli, O. Pinet, P. Richet, Ian Vickridge
- article
- Geochimica et Cosmochimica Acta, 2008, 72, pp.2157-2168. ⟨10.1016/j.gca.2008.02.007⟩
- Accès au bibtex
-
- titre
- Zn isotopic fractionation caused by sorption on goethite and 2-Lines ferrihydrite
- auteur
- F. Juillot, Chloé Maréchal, M. Ponthieu, S. Cacaly, G. Morin, M. Benedetti, J.L. Hazemann, O. Proux, F. Guyot
- article
- Geochimica et Cosmochimica Acta, 2008, 72 (19), pp.4886-4900. ⟨10.1016/j.gca.2008.07.007⟩
- Accès au bibtex
-
- titre
- Defect-free ZnSe nanowire and nanoneedle nanostructures
- auteur
- Thomas Aichele, Adrien Tribu, Catherine Bougerol, Kuntheak Kheng, Régis André, Serge Tatarenko
- article
- Applied Physics Letters, 2008, 93 (14), pp.143106. ⟨10.1063/1.2991298⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal structure and phase transition of the quinolinium tetrabromothallate(III)
- auteur
- Najla N. Chaari, Slaheddine Chaabouni, Nassira Chniba-Boudjada, Z. Abdelkefi, Pierre Bordet
- article
- Phase Transitions, 2008, 81 (1), pp.101-111. ⟨10.1080/01411590701517170⟩
- Accès au bibtex
-
- titre
- X-ray photoelectron and X-ray Auger electron spectroscopy studies of heavy ion irradiated C-60 films
- auteur
- A. Kumar, F. Singh, G. Govind, S. M. Shivaprasad, D. K. Avasthi, J. C. Pivin
- article
- Applied Surface Science, 2008, 254, pp.7280-7284. ⟨10.1016/j.apsusc.2008.05.322⟩
- Accès au bibtex
-
- titre
- Structural evolution of some hard/soft magnetic heterostructures obtained by mechanical milling and annealing
- auteur
- Dominique Givord, Ionel Chicinas, Olivier Isnard, Viorel Pop, Jean Marie Le Breton
- article
- Journal of Optoelectronics and Advanced Materials, 2008, 10 (7), pp.1872-1876
- Accès au bibtex
-
- titre
- Microcavity exciton-polariton mediated Raman scattering: Experiments and theory
- auteur
- A. Bruchhausen, L. M. Leon Hilario, A. A. Aligia, A. M. Lobos, A. Fainstein, Bernard Jusserand, R. André
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78 (12), pp.125326. ⟨10.1103/PhysRevB.78.125326⟩
- Accès au bibtex
-
- titre
- High-temperature oxygen non-stoichiometry, conductivity and structure in strontium-rich nickelates La2-xSrxNiO4-δ (x = 1 and 1.4)
- auteur
- Leonid V. Makhnach, Vladimir V. Pankov, Pierre Strobel
- article
- Materials Chemistry and Physics, 2008, 111, pp.125. ⟨10.1016/j.matchemphys.2008.03.022⟩
- Accès au texte intégral et bibtex
-
- titre
- Density and concentration fluctuations in SiO2-GeO2 optical fiber glass investigated by small angle x-ray scattering
- auteur
- Rozenn Le Parc, Bernard Champagnon, Claire Levelut, Valérie Martinez, Laurent David, Annelise Faivre, Ivo Flammer, Jean-Louis Hazemann, J.P. Simon
- article
- Journal of Applied Physics, 2008, 103 (9), pp.094917. ⟨10.1063/1.2917384⟩
- Accès au bibtex
-
- titre
- Coexistence of anomalous field effect and mesoscopic conductance fluctuations in granular aluminium
- auteur
- Julien Delahaye, Thierry Grenet, Frédéric Gay
- article
- The European Physical Journal B: Condensed Matter and Complex Systems, 2008, 65 (1), pp.5. ⟨10.1140/epjb/e2008-00317-4⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic and structural behaviour of (Nd,Dy)(2)Fe14B/alpha-Fe nanocomposites obtained by mechanical milling and annealing
- auteur
- Dominique Givord, Ionel Chicinas, Olivier Isnard, Viorel Pop, L. Nistor, A. Tunyagi, E. Dorolti
- article
- Journal of Optoelectronics and Advanced Materials, 2008, 10 (7), pp.1819-1822
- Accès au bibtex
-
- titre
- Industrial Fe-Ni alloys for HTS coated conductor tapes
- auteur
- Jean-Louis Soubeyroux, Patrick Chaudouët, Antoine Girard, Philippe Odier, Luc Ortega, Thierry Waeckerle
- article
- Journal of Physics: Conference Series, 2008, 97, pp.012069. ⟨10.1088/1742-6596/97/1/012069⟩
- Accès au texte intégral et bibtex
-
- titre
- A new pressure regulation device using high pressure isolation valves
- auteur
- Rémy Bruyère, Alain Prat, Céline Goujon, Jean-Louis Hazemann
- article
- Journal of Physics: Conference Series, 2008, 121, pp.122003. ⟨10.1088/1742-6596/121/12/122003⟩
- Accès au bibtex
-
- titre
- Relaxation of electron-hole pairs by coherent emission of LO-phonons in the quantum kinetic regime measured in CdZnTe quantum wells
- auteur
- Steeve Cronenberger, Christelle Brimont, O. Cregut, Kuntheak Kheng, Henri Mariette, M. Gallart, B. Honerlage, P. Gilliot
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77 (19), pp.195311. ⟨10.1103/PhysRevB.77.195311⟩
- Accès au bibtex
-
- titre
- Bose-Einstein condensation in semiconductors: myth or reality?
- auteur
- Jacek Kasprzak, Maxime Richard, Régis André, Le Si Dang
- article
- Journal of the European Optical Society : Rapid publications, 2008, 3, pp.08023. ⟨10.2971/jeos.2008.08023⟩
- Accès au texte intégral et bibtex
-
- titre
- Probing the structure of heterogeneous diluted materials by diffraction tomography
- auteur
- Pierre Bleuet, Eleonore Welcomme, Eric Dooryhee, Jean Susini, Jean-Louis Hodeau, Philippe Walter
- article
- Nature Materials, 2008, 7, pp.468-472. ⟨10.1038/nmat2168⟩
- Accès au bibtex
-
- titre
- Electronic and Mechanical Coupling of Carbon Nanotubes: a Tunable Resonant Raman Study on Structurally Identified Systems
- auteur
- Anne Débarre, Mathias Kobylko, Anne-Marie Bonnot, A. Richard, V. N. Popov, Luc Henrard, Mathieu Kociak
- article
- Physical Review Letters, 2008, 101 (19), pp.197403. ⟨10.1103/PhysRevLett.101.197403⟩
- Accès au bibtex
-
- titre
- Bulk and thin films of magnetic shape memory Ni-Mn-Ga alloys deposited by multi-dipolar plasma-assisted sputtering
- auteur
- Gabriel Girard, Stéphane Béchu, N. Caillault, L. Carbone, Luc Ortega, Daniel Fruchart
- article
- Journal of Alloys and Compounds, 2008, 465, pp.35-40. ⟨10.1016/j.jallcom.2007.11.087⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Mécanismes de relaxation des contraintes dans des couches épitaxiées de SmNiO3 déposées par MOCVD sur des substrats de SrTiO3
- auteur
- Florine Conchon, Alexandre Boulle, René Guinebretière, C. Girardot, S. Pignard, J. Kreisel, F. Weiss, E. Dooryhee, Jean-Louis Hodeau
- article
- 7ème Colloque Rayons X et Matière, RX 2007, Dec 2008, Dijon, France
- Accès au bibtex
-
- titre
- Contrôle de la localisation et de l'amplification de champs électromagnétiques à la surface des métaux et à l'échelle sub-longueur d 'onde
- auteur
- Aude Barbara
- article
- GDR Mésoscopie, Dec 2008, France
- Accès au bibtex
-
- titre
- Etude du composé ferromagnétique Ce3Pt23Si11
- auteur
- Christine Opagiste, Carley Paulsen, Elsa Lhotel, Pierre Rodiere, Rose-Marie Galéra, Pierre Bordet, Pascal Lejay
- article
- GDR MICO, Dec 2008, Autrans, France
- Accès au bibtex
-
- titre
- On the existence of a dynamic critical point by using wet milling on (±) modafinil
- auteur
- Julie Linol, Pauline Martinetto, Michel Anne, G. Coquerel
- article
- VI International Conference on Mechanochemistry and Mechanical Alloying, Dec 2008, Jamshedpur, India
- Accès au bibtex
-
- titre
- Cristallogenèse du composé ferromagnétique Ce3Pt23Si11
- auteur
- Christine Opagiste, Carley Paulsen, Elsa Lhotel, Pierre Rodiere, Rose-Marie Galéra, Pierre Bordet, Pascal Lejay
- article
- Journées Cristech 2008, Oct 2008, St Pierre d'Oléron, France
- Accès au bibtex
-
- titre
- Time resolved nonlinear characterization of light localization at band edge of 1D Photonic Crystals
- auteur
- Magali Astic, Philippe Delaye, Robert Frey, Gérald Roosen, Régis André, N. Belabas, Isabelle Sagnes, R. Raj
- article
- EOS Annual meeting "EOS Topical meeting on Nanophotonics, Photonics Crystals and Metamaterials", Sep 2008, Paris, France
- Accès au bibtex
-
- titre
- An Augmented Reality Nanomanipulator for Learning Nanophysics: the "NanoLearner" Platform
- auteur
- Sylvain Marlière, Florence Marchi, Jean-Loup Florens, Annie Luciani, Joël Chevrier
- article
- Cyberworlds 2008, Sep 2008, Hangzhou, China. pp.94-101
- Accès au bibtex
-
- titre
- Scanning-Gate Microscopy images the electronic LDOS inside nanostructures
- auteur
- S. Huant, F. Martins, M.G. Pala, B. Hackens, H. Sellier, T. Ouisse, V. Bayot
- article
- 11th International Conference on Non-contact Atomic Force Microscopy: NCAFM-2008, Madrid, September 16-19, 2008, Sep 2008, Madrid, Spain
- Accès au bibtex
-
- titre
- First Angular Measurements of Absorption and Fluorescence in a Monoclinic Crystal
- auteur
- Yannick Petit, Benoit Boulanger, Patricia Segonds, Corinne Felix, Bertrand Ménaert, Julien Zaccaro, Gérard Aka
- article
- EUROPHOTON , Sep 2008, Paris, France
- Accès au bibtex
-
- titre
- Recent development in magnetic refrigeration in Grenoble: from materials to applications
- auteur
- Afef Kedous-Lebouc, Cédric Dupuis, Houssem Bouchekara, Ulrich Legait, Jean-Paul Yonnet, Daniel Fruchart, Damien Gignoux, Patricia de Rango
- article
- 10 th International Workshop on 1 & 2 Dimensional Magnetic Measurement and testing, Sep 2008, Cardiff, United Kingdom. pp.3
- Accès au bibtex
-
- titre
- Self-Doubling Phase-Matching in a Nd:YCOB Sphere
- auteur
- Simon Joly, Yannick Petit, Patricia Segonds, Benoit Boulanger, Corinne Felix, Pierre Brand, Bertrand Ménaert, Alain Brenier, Georges Boulon, Gérard Aka
- article
- EUROPHOTON, Sep 2008, Paris, France
- Accès au bibtex
-
- titre
- Molecular nanocrystals confined in sol-gel matrix : ultra bright nanoemitters for sensing and bioimaging
- auteur
- Emilie Dubuisson, Cécile Philippot, Fabien Dubois, Nathalie Sanz, Alain Ibanez
- article
- International Conference on defects in insulating materials (ICDIM 2008), Aug 2008, Aracaju, Brazil
- Accès au bibtex
-
- titre
- Imaging the electron local density of states inside buried semiconductor quantum rings
- auteur
- B. Hackens, F. Martins, M.G. Pala, H. Sellier, T. Ouisse, X. Wallart, S. Bollaert, A. Cappy, V. Bayot, S. Huant
- article
- 29th Conference on the Physics of Semiconductors, Rio de Janeiro, July 27- August 1, 2008, Jul 2008, Rio de Janeiro, Brazil
- Accès au bibtex
-
- titre
- Confined nucleation and growth of organic nanocrystals in sol-gel matrices for biophotonics
- auteur
- Emilie Dubuisson, Cécile Philippot, Fabien Dubois, P.L. Baldeck, Robert B. Pansu, Alain Ibanez
- article
- 16th conference on composite nano Engineering (ICCE-16), Jul 2008, Kunming, China
- Accès au bibtex
-
- titre
- Singularities of the Absorption and Luminescence in Monoclinic Crystals : the case of Nd :YCOB
- auteur
- Simon Joly, Yannick Petit, Patricia Segonds, Benoit Boulanger, Corinne Felix, Bertrand Ménaert, Gérard Aka
- article
- International Conference on Luminescence ICL08, Jul 2008, Lyon, France
- Accès au bibtex
-
- titre
- Self-Doubling phase-matching in a Nd :YCOB Sphere
- auteur
- Simon Joly, Yannick Petit, Patricia Segonds, Benoit Boulanger, Corinne Felix, Bertrand Ménaert, Gérard Aka
- article
- International Conference on Luminescence ICL08, Jul 2008, Lyon, France
- Accès au bibtex
-
- titre
- First Report of Absorption and Fluorescence Singularities in the Nd:YCOB Monoclinic Crystal
- auteur
- Yannick Petit, Benoit Boulanger, Patricia Segonds, Corinne Felix, Bertrand Ménaert, Julien Zaccaro, Gérard Aka
- article
- The Conference on Lasers and Electro-Optics (CLEO) & Quantum Electronics and Laser Science (QELS) Conference, May 2008, San José, United States
- Accès au bibtex
-
- titre
- Angular Quasi-Phase-Matching in MgO:PPLN
- auteur
- Yannick Petit, Benoit Boulanger, Patricia Segonds, Pierre Brand, Corinne Felix, Bertrand Ménaert, Hideki Ishizuki, Takunori Taira
- article
- The Conference on Lasers and Electro-Optics (CLEO) & Quantum Electronics and Laser Science (QELS) Conference, May 2008, San José, United States
- Accès au bibtex
-
- titre
- Laser and Self-Doubling Operations in a Nd:YCOB Sphere
- auteur
- Simon Joly, Yannick Petit, Patricia Segonds, Benoit Boulanger, Corinne Felix, Pierre Brand, Bertrand Ménaert, Gérard Aka
- article
- The Conference on Lasers and Electro-Optics (CLEO) & Quantum Electronics and Laser Science (QELS) Conference, May 2008, San José, United States. paper CThX4
- Accès au bibtex
-
- titre
- Local Density of States from Scanning Gate Microscopy
- auteur
- M.G. Pala, B. Hackens, F. Martins, H. Sellier, V. Bayot, S. Huant, T. Ouisse
- article
- Annual Meeting of the Deutsche Physikalische Gesellschaft and DPG - spring meeting of the Division Condensed Matter, Berlin, Feb 2008, Berlin, Germany
- Accès au bibtex
-
- titre
- Assessment of uranium and selenium speciation in human and bacterial biological models to probe changes in their structural environment
- auteur
- Laure Avoscan, Sarah Milgram, Guillaume Unterreiner, Richard Collins, H. Khodja, Jacques Covès, Jean-Louis Hazemann, M. Carriere, B. Gouget
- article
- 3.Workshop on Chemical Speciation, Jan 2008, Montpellier, France
- Accès au bibtex
-
Chapitre d'ouvrage
- titre
- Chapter 7 Quantum Structures of II–VI Diluted Magnetic Semiconductors
- auteur
- Joel Cibert, L. Besombes, D. Ferrand, H. Mariette
- article
- Semiconductors and Semimetals, 82, Elsevier, pp.287-324, 2008, Semiconductors and Semimetals, ⟨10.1016/S0080-8784(08)00007-0⟩
- Accès au bibtex
-
- titre
- Quantum transport in quasicrystals and complex metallic alloys
- auteur
- Didier Mayou, Guy Trambly de Laissardière
- article
- T. Fujiwara, Y. Ishii. Quasicrystals, Elsevier, Amsterdam, pp.209-265, 2008, Handbook of Metal Physics, ⟨10.1016/S1570-002X(08)80022-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Chapter 14 - Probing and Controlling the Spin State of Single Magnetic Atoms in an Individual Quantum Dot
- auteur
- L. Besombes, Y. Leger, L. Maingault, D. Ferrand, Joel Cibert, H. Mariette
- article
- Handbook of Self Assembled Semiconductor Nanostructures for Novel Devices in Photonics and Electronics, Elsevier, pp.448-475, 2008, ⟨10.1016/B978-0-08-046325-4.00014-1⟩
- Accès au bibtex
-
- titre
- Diluted Magnetic Semiconductors: Basic Physics and Optical Properties
- auteur
- Joel Cibert, Denis Scalbert
- article
- M. Dyakonov. Spin physics in semiconductors, 157, Springer, pp.389-431, 2008, Springer Series in Solid-State Sciences, 978-3-540-78819-5. ⟨10.1007/978-3-540-78820-1_13⟩
- Accès au bibtex
-
HDR
- titre
- Couches minces de nitrures d'éléments III et de diamant : de la croissance par CVD des semiconducteurs de grand gap aux applications
- auteur
- Franck Omnès
- article
- Matière Condensée [cond-mat]. Université Joseph-Fourier - Grenoble I, 2008
- Accès au texte intégral et bibtex
-
Brevet
- titre
- Fluorescent Organic Nanocrystals for Producing Biosensors
- auteur
- Alain Ibanez, Virginie Monnier, Nathalie Sanz, Robert Bernard Pansu
- article
- France, Patent n° : WO 2008/145875. 2008
- Accès au bibtex
-
Thèse
- titre
- Etude expérimentale et numérique de réservoirs d?hydrure de magnésium
- auteur
- Albin Chaise
- article
- Energie électrique. Université Joseph-Fourier - Grenoble I, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Epitaxie de semiconducteurs II-VI : ZnTe/ZnSe et CdTe:Se. Etude du confinement électronique de type-II et du dopage isoélectronique
- auteur
- Rita Najjar
- article
- Matière Condensée [cond-mat]. Université Joseph-Fourier - Grenoble I, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Études structurales et vibrationnelle des liaisons hydrogène en solution aqueuse supercritique
- auteur
- Cécile da Silva
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution à l'étude cristallographique et magnétique de composés intermétalliques R–Co–B et R–Fe–B (R ≡ élément de terres rares)
- auteur
- Hervé Mayot
- article
- Matière Condensée [cond-mat]. Université Joseph-Fourier - Grenoble I, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Superconductivity in diamond and related materials
- auteur
- Philipp Achatz
- article
- Condensed Matter [cond-mat]. Université Joseph-Fourier - Grenoble I, 2008. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude des Langasites magnétiques: De la frustration magnétique au multiferroïsme
- auteur
- Karol Marty
- article
- Matière Condensée [cond-mat]. Université Joseph-Fourier - Grenoble I, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthèses et caractérisations structurales d'iodates métalliques, matériaux lasers convertisseurs de fréquences
- auteur
- Mohamed Benabdallah Taouti
- article
- Physique Atomique [physics.atom-ph]. Université Joseph-Fourier - Grenoble I, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Propriétés structurales et magnétiques de composés intermétalliques à base des terres rares, cobalt et silicium
- auteur
- Natalia Coroian
- article
- Matière Condensée [cond-mat]. Université Joseph-Fourier - Grenoble I, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- From the parametric amplification in Electric Force Microscopy to the Scanning Gate Microscoppy of Quantum Rings
- auteur
- Frederico Martins
- article
- Physics [physics]. Université Joseph-Fourier - Grenoble I, 2008. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Elaboration et étude de poudres magnétiques douces (Ni-Fe, Ni-Fe-X, Ni-Fe-X-Y) à l'état nanocristallin par broyage mécanique de haute énergie
- auteur
- Florin Popa
- article
- Matière Condensée [cond-mat]. Université Joseph-Fourier - Grenoble I, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen interaction with the microstructures of Pd alloys
- auteur
- Viviane Azambuja
- article
- Engineering Sciences [physics]. Université Joseph-Fourier - Grenoble I, 2008. Portuguese. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
2007
Article dans une revue
- titre
- Nature of the metal-nonmetal transition in metal-ammonia solutions. I. Solvated electrons at low metal concentrations
- auteur
- Gennady N. Chuev, Pascal Quemerais, Jason Crain
- article
- Journal of Chemical Physics, 2007, 127 (24), pp.244501. ⟨10.1063/1.2812244⟩
- Accès au bibtex
-
- titre
- Evidence for the presence of U-Mo-Al ternary compounds in the UMo/Al interaction layer grown by thermal annealing: a coupled µ-XRD/µ-XAS study
- auteur
- H. Palancher, P. Martin, V. Nassif, R. Tucoulou, O. Proux, Jean-Louis Hazemann, O. Tougait, E. Lahéra, F. Mazaudier, C. Valot, S. Dubois
- article
- Journal of Applied Crystallography, 2007, 40 (6), pp.1064-1075. ⟨10.1107/S0021889807040010⟩
- Accès au bibtex
-
- titre
- Surface effects on the orbital order in the single layered manganite La0.5 Sr1.5 MnO4
- auteur
- Yusuke Wakabayashi, M. H. Upton, Stéphane Grenier, J.P. Hill, C. S. Nelson, J. W. Kim, P. J. Ryan, A. I. Goldmann, H. Zeng, J. F. Mitchell
- article
- Nature Materials, 2007, 6 (12), pp.972-976. ⟨10.1038/nmat2061⟩
- Accès au texte intégral et bibtex
-
- titre
- Classification of lead white pigments using synchrotron radiation micro X-ray diffraction
- auteur
- Eleonore Welcomme, Philippe Walter, Pierre Bleuet, Jean-Louis Hodeau, Eric Dooryhee, Pauline Martinetto, Michel Menu
- article
- Applied physics. A, Materials science & processing, 2007, 89 (4), pp.825-832. ⟨10.1007/s00339-007-4217-0⟩
- Accès au bibtex
-
- titre
- Tuning the effective coupling of an AFM lever to a thermal bath
- auteur
- Guillaume Jourdan, Gauthier Torricelli, Joël Chevrier, Fabio Comin
- article
- Nanotechnology, 2007, 18, pp.475502. ⟨10.1088/0957-4484/18/47/475502⟩
- Accès au texte intégral et bibtex
-
- titre
- Metal-to-insulator transition and superconductivity in boron-doped diamond
- auteur
- Etienne Bustarret, Philipp Achatz, Benjamin Sacépé, Claude Chapelier, C. Marcenat, Luc Ortega, Thierry Klein
- article
- Philosophical Transactions of the Royal Society of London. Series A, Mathematical and Physical Sciences (1934–1990), 2007, 366, pp.267. ⟨10.1098/rsta.2007.2151⟩
- Accès au texte intégral et bibtex
-
- titre
- Direct observation of oxygen superstructures in manganites
- auteur
- Stéphane Grenier, K.J. Thomas, J.P. Hill, U. Staub, Y. Bodenthin, M. Garcia-Fernandez, V. Scagnoli, V. Kiryukhin, S.-W. Cheong, B.G. Kim, Jean-Marc Tonnerre
- article
- Physical Review Letters, 2007, 99, pp.206403. ⟨10.1103/PhysRevLett.99.206403⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic properties of HfO2 thin films
- auteur
- Nicolas Hadacek, Alexandre Nossov, Laurent Ranno, Pierre Strobel, Rose-Marie Galéra
- article
- Journal of Physics: Condensed Matter, 2007, 19 (48), pp.486206. ⟨10.1088/0953-8984/19/48/486206⟩
- Accès au texte intégral et bibtex
-
- titre
- Field and angular dependence of the Sommerfeld coefficient in Al-doped MgB2 single crystals
- auteur
- Z. Pribulova, Thierry Klein, Jacques Marcus, C. Marcenat, M. S. Park, H.S. Lee, H.G. Lee, S.I. Lee
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 76, pp.180502(R). ⟨10.1103/PhysRevB.76.180502⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of tensile and compressive strains on the transport properties of SmNiO3 layers epitaxially grown on (001) SrTiO3 and LaAlO3 substrates
- auteur
- Florine Conchon, Alexandre Boulle, René Guinebretière, Cécile Girardot, Stéphane Pignard, Jens Kreisel, François Weiss, Eric Dooryhee, Jean-Louis Hodeau
- article
- Applied Physics Letters, 2007, 91 (19), pp.192110. ⟨10.1063/1.2800306⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure and magnetism of self-organized Ge(1-x)Mn(x) nano-columns
- auteur
- Thibaut Devillers, Matthieu Jamet, André Barski, Valier Poydenot, Pascale Bayle-Guillemaud, Edith Bellet-Amalric, Salia Cherifi, Joel Cibert
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 76, pp.205306. ⟨10.1103/PhysRevB.76.205306⟩
- Accès au texte intégral et bibtex
-
- titre
- XANES determination of chromium oxidation states in glasses: comparison with optical absorption spectroscopy
- auteur
- Olivier Villain, Georges Calas, Laurence Galoisy, Laurent Cormier, Jean-Louis Hazemann
- article
- Journal of the American Ceramic Society, 2007, 90 (11), pp.3578-3581. ⟨10.1111/j.1551-2916.2007.01905.x⟩
- Accès au texte intégral et bibtex
-
- titre
- Comment on "Model of saturated lithium ammonia as a single-component liquid metal
- auteur
- Gennady N. Chuev, Pascal Quemerais
- article
- Journal of Chemical Physics, 2007, 127, pp.244501. ⟨10.1063/1.2819241⟩
- Accès au bibtex
-
- titre
- Temperature scanning small angle x-ray scattering measurements of structural relaxation in type-III vitreous silica
- auteur
- Ralf Brüning, Claire Levelut, Rozenn Le Parc, Annelise Faivre, Lyne Semple, Marc Vallée, Jean-Paul Simon, Jean-Louis Hazemann
- article
- Journal of Applied Physics, 2007, 102 (8), pp.083535. ⟨10.1063/1.2799940⟩
- Accès au bibtex
-
- titre
- Cross-sectional scanning tunneling microscopy study on II–VI multilayer structures
- auteur
- A. Wierts, J. Ulloa, C. Celebi, P. Koenraad, H. Boukari, L. Maingault, R. Andre, H. Mariette
- article
- Applied Physics Letters, 2007, 91 (16), pp.161907. ⟨10.1063/1.2799254⟩
- Accès au texte intégral et bibtex
-
- titre
- Excitonic giant Zeeman effect in GaN:Mn^3+
- auteur
- Wojciech Pacuski, David Ferrand, Joel Cibert, J. A. Gaj, A. Golnik, P. Kossacki, Stéphane Marcet, E. Sarigiannidou, Henri Mariette
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 76, pp.165304. ⟨10.1103/PhysRevB.76.165304⟩
- Accès au bibtex
-
- titre
- Evidence for low density of nonradiative defects in ZnO nanowires grown by metal organic vapor-phase epitaxy
- auteur
- I.C. Robin, B. Gauron, P. Ferret, C. Tavares, G. Feuillet, Daniel Le Si Dang, B. Gayral, J. M. Gérard
- article
- Applied Physics Letters, 2007, 91, pp.143120. ⟨10.1063/1.2794790⟩
- Accès au bibtex
-
- titre
- Y0.9Er0.1Al3(BO3)(4) thin films prepared by the polymeric precursor method for integrated optics
- auteur
- Lauro J. Q. Maia, Alain Ibanez, Jochen Fick, Nathalie Sanz, Antonio Carlos Hernandes, Valmor R. Mastelaro
- article
- Journal of Nanoscience and Nanotechnology, 2007, 7 (10), pp.3629-3637. ⟨10.1166/jnn.2007.811⟩
- Accès au bibtex
-
- titre
- Measuring the spin polarization and Zeeman energy of a spin-polarized electron gas: Comparison between Raman scattering and photoluminescence
- auteur
- Cynthia Aku-Leh, Florent Perez, Bernard Jusserand, David Richards, Wojciech Pacuski, Piotr Kossacki, Michel Menant, Grzegorz Karczewski
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 76 (15), pp.155416. ⟨10.1103/PhysRevB.76.155416⟩
- Accès au bibtex
-
- titre
- Anisotropic morphology of nonpolar a-plane GaN quantum dots and quantum wells
- auteur
- S. Founta, C. Bougerol, H. Mariette, B. Daudin, P. Vennéguès
- article
- Journal of Applied Physics, 2007, 102 (7), pp.074304. ⟨10.1063/1.2781569⟩
- Accès au bibtex
-
- titre
- Direct measurement of mode hybridization in birefringent slab waveguides by hemisphere m-line ellipsometry
- auteur
- Jochen Fick, Julien Zaccaro, Paul Moretti
- article
- Journal of Optics A: Pure and Applied Optics, 2007, 9, pp.891. ⟨10.1088/1464-4258/9/10/020⟩
- Accès au bibtex
-
- titre
- Modelling of the magnetocaloric effect in Gd1−xTbx and MnAs compounds
- auteur
- M. Balli, D. Fruchart, D. Gignoux, S. Miraglia, E.K. Hlil, P. Wolfers
- article
- Journal of Magnetism and Magnetic Materials, 2007, 316 (2), pp.e558-e561. ⟨10.1016/j.jmmm.2007.03.019⟩
- Accès au bibtex
-
- titre
- Local order and nanostructure induced by microalloying in Al–Y–Fe amorphous alloys
- auteur
- Anne Sadoc, Olivier Heckmann, Vivian Nassif, Olivier Proux, Jean-Louis Hazemann, L.Q. Xing, K.F. Kelton
- article
- Journal of Non-Crystalline Solids, 2007, 353 (29), pp.2758 - 2766. ⟨10.1016/j.jnoncrysol.2007.05.023⟩
- Accès au bibtex
-
- titre
- Hydrogen-induced structural transformation in TiV0.8Cr1.2 studied by in situ neutron diffraction
- auteur
- S. Miraglia, D. Fruchart, N. Skryabina, M. Shelyapina, B. Ouladiaf, E.K. Hlil, P. de Rango, J. Charbonnier
- article
- Journal of Alloys and Compounds, 2007, 442 (1-2), pp.49-54. ⟨10.1016/j.jallcom.2006.10.168⟩
- Accès au bibtex
-
- titre
- The effect of the octahedral cations on the dimensions of the palygorskite cell
- auteur
- Mercedes Suarez, E. García-Romero, Manolo Sanchez del Rio, Pauline Martinetto, Eric Dooryhee
- article
- Clay Minerals, 2007, 42 (3), pp.287-297. ⟨10.1180/claymin.2007.042.3.02⟩
- Accès au bibtex
-
- titre
- Charging dynamics and strong localization of a two-dimensional electron cloud
- auteur
- Raphaelle Dianoux, Florence Marchi, Henke Jan Smilde, Nicolas Buffet, Pierre Mur, Fabio Comin, Joël Chevrier
- article
- Nanotechnology, 2007, 18 (32), pp.325403. ⟨10.1088/0957-4484/18/32/325403⟩
- Accès au texte intégral et bibtex
-
- titre
- New reactor dedicated to in operando studies of model catalysts by means of surface x-ray diffraction and grazing incidence small angle x-ray scattering
- auteur
- Marie-Claire Saint-Lager, Aude Bailly, Pierre Dolle, Robert Baudoing-Savois, Pierre Taunier, Stéphanie Garaudée, Sylvain Cuccaro, Sabine Douillet, Olivier Geaymond, Gilles Perroux, Olivier Tissot, Jean-Sébastien Micha, Olivier Ulrich, François Rieutord
- article
- Review of Scientific Instruments, 2007, 78 (8), pp.083902. ⟨10.1063/1.2766821⟩
- Accès au texte intégral et bibtex
-
- titre
- Epitaxial stabilization of SmNiO3 films on (001) SrTiO3 substrates
- auteur
- Florine Conchon, Alexandre Boulle, C. Girardot, S. Pignard, René Guinebretière, Eric Dooryhee, Jean-Louis Hodeau, F. Weiss, J. Kreisel, Jean-François Bérar
- article
- Journal of Physics D: Applied Physics, 2007, 40, pp.4872-4876. ⟨10.1088/0022-3727/40/16/017⟩
- Accès au bibtex
-
- titre
- Excitonic Polaritons in Transverse Magnetic Fields
- auteur
- D. Loginov, V.P. Kochereshko, A. Litvinov, L. Besombes, H. Mariette, J.J. Davies, L.C. Smith, D. Wolverson
- article
- Acta Physica Polonica A, 2007, 112 (2), pp.381-386. ⟨10.12693/APhysPolA.112.381⟩
- Accès au bibtex
-
- titre
- Structural relaxation around substitutional Cr3+ in MgAl2O4
- auteur
- Amélie Juhin, Georges Calas, Delphine Cabaret, Laurence Galoisy, Jean-Louis Hazemann
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 76 (5), pp.054105. ⟨10.1103/PhysRevB.76.054105⟩
- Accès au texte intégral et bibtex
-
- titre
- CdSe quantum dot formation: alternative paths to relaxation of a strained CdSe layer and influence of the capping conditions
- auteur
- I Robin, T Aichele, C. Bougerol, R Andre, S. Tatarenko, E. Bellet-Amalric, B Van Daele, G Van Tendeloo
- article
- Nanotechnology, 2007, 18 (26), pp.265701. ⟨10.1088/0957-4484/18/26/265701⟩
- Accès au bibtex
-
- titre
- CdSe quantum dot formation induced by amorphous Se
- auteur
- T. Aichele, I.-C. Robin, C. Bougerol, R. Andre, S. Tatarenko, G. van Tendeloo
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2007, 601 (13), pp.2664-2666. ⟨10.1016/j.susc.2006.12.001⟩
- Accès au bibtex
-
- titre
- Valence-band mixing in neutral, charged, and Mn-doped self-assembled quantum dots
- auteur
- Y. Léger, L. Besombes, L. Maingault, H. Mariette
- article
- Physical Review B, 2007, 76 (4), pp.045331. ⟨10.1103/PhysRevB.76.045331⟩
- Accès au bibtex
-
- titre
- Whispering gallery polaritons in cylindrical cavities
- auteur
- M. A. Kaliteevski, S. Brand, R. A. Abram, A. Kavokin, Daniel Le Si Dang
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75, pp.233309. ⟨10.1103/PhysRevB.75.233309⟩
- Accès au bibtex
-
- titre
- In situ study of the quasicrystal growth by synchrotron X-ray imaging
- auteur
- Joseph Gastaldi, Guillaume Reinhart, Henri Nguyen-Thi, Nathalie Mangelinck-Noël, Bernard Billia, Thomas Schenk, Juergen Haertwig, Benjamin Grushko, Holger Klein, Adeline Buffet, Jose Baruchel, Hyejin Jung, Paola Pino, B. Przepiarzynski
- article
- Philosophical Magazine, 2007, 87 (18-21), pp.3079-3087. ⟨10.1080/14786430701264152⟩
- Accès au texte intégral et bibtex
-
- titre
- Platinum Doped Hydrotreating Catalysts for Deep Hydrodesulfurization of Diesel Fuels
- auteur
- Stéphanie Pessayre, Christophe Geantet, Robert Bacaud, Michel Vrinat, Thanh Son N'Guyen, Yvonne Soldo, Jean-Louis Hazemann, Michèle Breysse
- article
- Industrial and engineering chemistry research, 2007, 46 (12), pp. 3877-3883. ⟨10.1021/ie060932x⟩
- Accès au bibtex
-
- titre
- Probing the transverse magneto-optical Kerr effect at the nanoscale
- auteur
- L.M. Alvarez-Prado, Yves Souche, Rémi Carminati
- article
- physica status solidi (a), 2007, 204 (6), pp.1956. ⟨10.1002/pssa.200675353⟩
- Accès au bibtex
-
- titre
- Molecular beam epitaxy of CdSe epilayers and quantum wells on ZnTe substrate
- auteur
- Y.M. Park, R. Andre, J. Kasprzak, Le Si Dang, E. Bellet-Amalric
- article
- Applied Surface Science, 2007, 253 (16), pp.6946-6950. ⟨10.1016/j.apsusc.2007.02.012⟩
- Accès au bibtex
-
- titre
- Giant optical nonlinearity induced by a single two-level system interacting with a cavity in the Purcell regime
- auteur
- Alexia Auffèves, Christoph Simon, Jean-Michel Gérard, Jean-Philippe Poizat
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2007, 75 (5), pp.053823. ⟨10.1103/PhysRevA.75.053823⟩
- Accès au bibtex
-
- titre
- Herzfeld instability versus Mott transition in metal-ammonia solutions
- auteur
- Gennady N. Chuev, Pascal Quemerais
- article
- Comptes Rendus. Physique, 2007, 8, pp.449-455. ⟨10.1016/j.crhy.2007.05.016⟩
- Accès au bibtex
-
- titre
- In situ neutron diffraction study of the nitrogenation process applied to NdFe10.5V1.5 alloys
- auteur
- I. Popa, Sophie Rivoirard, Patricia de Rango, Daniel Fruchart
- article
- Journal of Alloys and Compounds, 2007, 428, pp.44-48. ⟨10.1016/j.jallcom.2006.03.075⟩
- Accès au bibtex
-
- titre
- Fine structure of exciton excited levels in a quantum dot with a magnetic ion
- auteur
- M. Glazov, E. Ivchenko, L. Besombes, Y. Léger, L. Maingault, H. Mariette
- article
- Physical Review B, 2007, 75 (20), pp.205313. ⟨10.1103/PhysRevB.75.205313⟩
- Accès au bibtex
-
- titre
- New methodological approach for the vanadium K-edge X-ray absorption near-edge structure interpretation: Application to the speciation of vanadium in oxide phases from steel slag
- auteur
- Perrine Chaurand, Jérôme Rose, Valérie Briois, Murielle Salomé, Olivier Proux, Vivian Nassif, Luca Olivi, Jean Susini, Jean-Louis Hazemann, Jean-Yves Bottero
- article
- Journal of Physical Chemistry B, 2007, 111 (19), pp.5101-5110. ⟨10.1021/jp063186i⟩
- Accès au bibtex
-
- titre
- Dynamics of polaritons resonantly created at the upper polariton branch
- auteur
- M.D. Martín, D. Ballarini, A. Amo, L. Viña, R. Andre
- article
- Superlattices and Microstructures, 2007, 41 (5-6), pp.328-332. ⟨10.1016/j.spmi.2007.03.029⟩
- Accès au bibtex
-
- titre
- Annealing induced inversion of quantum dot fine-structure splitting
- auteur
- E. Margapoti, L. Worschech, A. Forchel, A. Tribu, T. Aichele, R. Andre, K. Kheng
- article
- Applied Physics Letters, 2007, 90 (18), pp.181927. ⟨10.1063/1.2737131⟩
- Accès au texte intégral et bibtex
-
- titre
- Metal-insulator transition and superconductivity in boron-doped diamond
- auteur
- Thierry Klein, Philipp Achatz, Josef Kacmarcik, C. Marcenat, Frederik Gustafsson, Jacques Marcus, Etienne Bustarret, Julien Pernot, Franck Omnès, Bo E. Sernelius, C. Persson, A. Ferreira Sa Silva, C. Cytermann
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75, pp.165313. ⟨10.1103/PhysRevB.75.165313⟩
- Accès au texte intégral et bibtex
-
- titre
- Spin properties of charged Mn-doped quantum dot
- auteur
- L. Besombes, Y. Léger, L. Maingault, H. Mariette
- article
- Journal of Applied Physics, 2007, 101 (8), pp.081713. ⟨10.1063/1.2722774⟩
- Accès au bibtex
-
- titre
- Enhancement of the longitudinal magnetic moment of the exciton due to its motion
- auteur
- V. Kochereshko, A. Platonov, G. Mikhailov, J. Davies, D. Wolverson, R. Cox, Joel Cibert, H. Mariette, D. Loginov, E. Ubyivovk, Yu. Efimov, S. Eliseev
- article
- International Journal of Modern Physics B, 2007, 21 (8-9), pp.1350-1357. ⟨10.1142/S0217979207042823⟩
- Accès au bibtex
-
- titre
- Anomalous field effect and glassy behaviour in granular aluminium thin films: electron glass?
- auteur
- Thierry Grenet, Julien Delahaye, Maher Sabra, Frédéric Gay
- article
- The European Physical Journal B: Condensed Matter and Complex Systems, 2007, 56 (3), pp.183. ⟨10.1140/epjb/e2007-00109-4⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and optical properties of CdSe quantum dots induced by amorphous Se
- auteur
- T. Aichele, I.-C. Robin, C. Bougerol, R. Andre, S. Tatarenko, G. van Tendeloo
- article
- Journal of Crystal Growth, 2007, 301-302, pp.281-284. ⟨10.1016/j.jcrysgro.2006.11.077⟩
- Accès au bibtex
-
- titre
- Optical characterization of YCa4O(BO3)3 and Nd:YCa4O(BO3)3 crystals
- auteur
- P. Segonds, B. Boulanger, B. Menaert, Julien Zaccaro, Jean-Paul Salvestrini, M. D. Fontana, R. Moncorgé, F. Porée, G. Gadret, J. Mangin, A. Brenier, G. Boulon, G. Aka, D. Pelenc
- article
- Optical Materials, 2007, 29 (8), pp.975-982. ⟨10.1016/j.optmat.2005.11.036⟩
- Accès au texte intégral et bibtex
-
- titre
- Anisotropy of the Sommerfeld Coefficient in Magnesium Diboride Single Crystals
- auteur
- Z. Pribulova, Thierry Klein, Jacques Marcus, C. Marcenat, F. Levy-Bertrand, M. S. Park, H. G. Lee, B.W. Kang, S. I. Lee, S. Tajima, S. Lee
- article
- Physical Review Letters, 2007, 98 (13), pp.137001. ⟨10.1103/PhysRevLett.98.137001⟩
- Accès au texte intégral et bibtex
-
- titre
- Anisotropic strain relaxation in a-plane GaN quantum dots
- auteur
- S. Founta, Johann Coraux, D. Jalabert, C. Bougerol, F. Rol, H. Mariette, H. Renevier, B. Daudin, R. Oliver, C. Humphreys, T. Noakes, P. Bailey
- article
- Journal of Applied Physics, 2007, 101 (6), pp.063541. ⟨10.1063/1.2713937⟩
- Accès au bibtex
-
- titre
- Probing exciton localization in nonpolar GaN/AlN quantum dots by single-dot optical spectroscopy
- auteur
- F. Rol, S. Founta, Henri Mariette, B. Daudin, Daniel Le Si Dang, J. Bleuse, D. Peyrade, J.M. Gérard, B. Gayral
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75, pp.125306. ⟨10.1103/PhysRevB.75.125306⟩
- Accès au bibtex
-
- titre
- Spin excitations in the antiferromagnet NaNiO2
- auteur
- Sophie de Brion, Céline Darie, M. Hopzapfel, D. Talbayev, L. Mihaly, F. Simon, A. Janossy, G. Chouteau
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75, pp.094402. ⟨10.1103/PhysRevB.75.094402⟩
- Accès au texte intégral et bibtex
-
- titre
- Foreword
- auteur
- Benoit Boulanger
- article
- Comptes Rendus. Physique, 2007, 8 (2), pp.121 - 122. ⟨10.1016/j.crhy.2006.11.008⟩
- Accès au bibtex
-
- titre
- Electrodeposited CoPt and FePt alloys nanowires
- auteur
- L. Cagnon, Y. Dahmane, J. Voiron, S. Pairis, M. Bacia, L. Ortega, N. Benbrahim, A. Kadri
- article
- Journal of Magnetism and Magnetic Materials, 2007, 310, pp.2428-2430. ⟨10.1016/J.JMMM.2006.10.902⟩
- Accès au bibtex
-
- titre
- Strain relaxation in GaN grown on vicinal 4H-SiC(0001) substrates
- auteur
- Julien Pernot, Etienne Bustarret, Mariusz Rudzinski, Paul R. Hageman, Poul K. Larsen
- article
- Journal of Applied Physics, 2007, 101, pp.033536. ⟨10.1063/1.2435072⟩
- Accès au texte intégral et bibtex
-
- titre
- Hemisphere m-line spectroscopy and its application to birefringent KTiOPO4 planar waveguides.
- auteur
- Jochen Fick, Bertrand Ménaert, Julien Zaccaro, Paul Moretti
- article
- Optics Communications, 2007, 270, pp.229. ⟨10.1016/j.optcom.2006.09.017⟩
- Accès au texte intégral et bibtex
-
- titre
- Observation of orbital ordering and Jahn-Teller distortions supporting the Wigner-crystal model in highly doped Bi1-xCaxMnO3
- auteur
- Stéphane Grenier, V. Kiryukhin, S.-W. Cheong, B.G. Kim, J.P. Hill, K.J. Thomas, Jean-Marc Tonnerre, Yves Joly, U. Staub, V. Scagnoli
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75, pp.085101. ⟨10.1103/PhysRevB.75.085101⟩
- Accès au texte intégral et bibtex
-
- titre
- Density fluctuations in oxide glasses investigated by small-angle X-ray scattering
- auteur
- Claire Levelut, Rozenn Le Parc, Annelise Faivre, Ralf Brüning, Bernard Champagnon, V. Martinez, Jean-Paul Simon, Françoise Bley, Jean-Louis Hazemann
- article
- Journal of Applied Crystallography, 2007, 40 (Suppl. 1), pp.S512-S516. ⟨10.1107/S0021889807000507⟩
- Accès au bibtex
-
- titre
- Nanostructured magnesium hydride for pilot tank development
- auteur
- Patricia de Rango, Albin Chaise, Jean Charbonnier, Daniel Fruchart, M. Jehan, Philippe Marty, Salvatore Miraglia, Sophie Rivoirard, Nataliya Skryabina
- article
- Journal of Alloys and Compounds, 2007, 446-447, pp.52-57. ⟨10.1016/j.jallcom.2007.01.108⟩
- Accès au bibtex
-
- titre
- Build up and pinning of linear polarization in the Bose condensates of exciton polaritons
- auteur
- Jacek Kasprzak, R. André, Daniel Le Si Dang, I. Shelykh, A. Kavokin, Y. Rubo, K. Kavokin, Guillaume Malpuech
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75 (4), pp.045326. ⟨10.1103/PhysRevB.75.045326⟩
- Accès au bibtex
-
- titre
- A quantitative comparison of resolution, scanning speed and lifetime behavior of CVD grown Single Wall Carbon Nanotubes and silicon SPM probes using spectral methods
- auteur
- Oliver Krause, Vincent Bouchiat, Anne-Marie Bonnot
- article
- Journal of Physics: Condensed Matter, 2007, 61, pp.628. ⟨10.1088/1742-6596/61/1/126⟩
- Accès au bibtex
-
- titre
- Quantitative study of the Giant Zeeman Effect in (Zn,Co)O and (Ga,Mn)N
- auteur
- W. Pacuski, D. Ferrand, P. Kossacki, Joel Cibert, J. Gaj, A. Golnik, S. Marcet, C. Deparis, C. Morhain, E. Sarigiannidou, H. Mariette
- article
- AIP Conference Proceedings, 2007, 893 (1), pp.1171. ⟨10.1063/1.2730314⟩
- Accès au bibtex
-
- titre
- Increase of the longitudinal exciton magnetic momentum due to its movement
- auteur
- V. Kochereshko, A. Platonov, J. Davies, D. Wolverson, R. Cox, Joel Cibert, H. Mariette, E. Ubyivovk, Yu. Efimov
- article
- AIP Conference Proceedings, 2007, 893 (1), pp.403. ⟨10.1063/1.2729936⟩
- Accès au bibtex
-
- titre
- Controlling Mn concentration into CdTe quantum dots
- auteur
- L. Maingault, L. Besombes, Y. Léger, H. Mariette
- article
- AIP Conference Proceedings, 2007, 893 (1), pp.91. ⟨10.1063/1.2729785⟩
- Accès au bibtex
-
- titre
- Intrinsic ferromagnetism in wurtzite (Ga,Mn)N grown by plasma-assisted molecular-beam epitaxy
- auteur
- E. Sarigiannidou, F. Wilhelm, E. Monroy, Rose-Marie Galéra, E. Bellet-Amalric, A. Rogalev, J. Goulon, Joel Cibert, H. Mariette
- article
- AIP Conference Proceedings, 2007, 893 (1), pp.1173. ⟨10.1063/1.2730315⟩
- Accès au bibtex
-
- titre
- Temperature Dependence Of The Exciton Homogeneous Linewidth In CdTe and CdSe Self-assembled Quantum Dots: Limit Of Single Photon Source Operation
- auteur
- Kuntheak Kheng, Sebatian Moehl, Ivan-Christophe Robin, Laurent Maingault, Régis André, H. Mariette
- article
- AIP Conference Proceedings, 2007, 893 (1), pp.917. ⟨10.1063/1.2730191⟩
- Accès au bibtex
-
- titre
- Influence of strain relaxation on the structural stabilization of SmNiO3 films epitaxially grown on (0 0 1) SrTiO3 substrates
- auteur
- Florine Conchon, Alexandre Boulle, C. Girardot, S. Pignard, René Guinebretière, Eric Dooryhee, Jean-Louis Hodeau, F. Weiss, J. Kreisel
- article
- Materials Science and Engineering: B, 2007, 144, pp.32-37. ⟨10.1016/j.mseb.2007.07.096⟩
- Accès au bibtex
-
- titre
- Magnetic and structural properties of SmCo5/alpha-Fe nanocomposites
- auteur
- Dominique Givord, Viorel Pop, Olivier Isnard, Ionel Chicinas
- article
- Journal of Magnetism and Magnetic Materials, 2007, 310, pp.2489-2490. ⟨10.1016/j.jmmm.2006.11.090⟩
- Accès au bibtex
-
- titre
- New iodate materials as potential laser matrices. Preparation and characterisation of alpha-M(IO3)3 (M = Y, Dy) and beta-M(IO3)3 (M = Y, Ce, Pr, Nd, Eu, Gd, Tb, Dy, Ho, Er). Structural evolution as a function of the Ln3+ cationic radius
- auteur
- Delphine Phanon, Alain Mosset, Isabelle Gautier-Luneau
- article
- Solid State Sciences, 2007, 9 (6), pp.496-505. ⟨10.1016/j.solidstatesciences.2007.04.004⟩
- Accès au bibtex
-
- titre
- Properties of ultra-thin vanadium layers in V/Ru superlattices
- auteur
- Fabiola Liscio, Mireille Maret, Carlo Meneghini, Jean-Louis F Hazemann, Martin Albrecht
- article
- Journal of Physics: Condensed Matter, 2007, 19 (48), pp.486005. ⟨10.1088/0953-8984/19/48/486005⟩
- Accès au texte intégral et bibtex
-
- titre
- Angular studies of the magnetoresistance in the density wave state of the quasi two-dimensional purple bronze KMo6O17
- auteur
- H. Guyot, J. Dumas, M. Kartsovnik, J. Marcus, C. Schlenker, I. Sheikin, David Vignolles
- article
- The European Physical Journal B: Condensed Matter and Complex Systems, 2007, 58 (1), pp.25-30. ⟨10.1140/epjb/e2007-00202-8⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization of Paris-Edinburgh press cell assemblies for in situ monochromatic X-ray diffraction and X-ray absorption
- auteur
- Guillaume Morard, M. Mezouar, N. Rey, R. Poloni, A. Merlen, S. Le Floch, Pierre Toulemonde, S. Pascarelli, A. San-Miguel, C. Sanloup, G. Fiquet
- article
- High Pressure Research, 2007, 27 (2), pp.223-233. ⟨10.1080/08957950601183553⟩
- Accès au bibtex
-
- titre
- In situ determination of arsenic speciation in natural fluid inclusion from Au-rich quartz veins
- auteur
- J. Cauzid, J. Brugger, Jean-Louis Hazemann, J. James-Smith, W. Liu, P. Philippot, O. Proux, Denis Testemale
- article
- Geochimica et Cosmochimica Acta, 2007, 71 (15), pp.A152-A152. ⟨10.1016/j.gca.2007.06.013⟩
- Accès au bibtex
-
- titre
- Drying nano particles solution on an oscillating tip at an air liquid interface : what we can learn, what we can do
- auteur
- Charlotte Bernard, Jean-Pierre Aimé, Sophie Marsaudon, Raphaël Levy, Anne Marie Bonnot, Cattien V Nguyen, Denis Mariolle, François Bertin, A. Chabli
- article
- Nanoscale Research Letters, 2007, 2, pp.309. ⟨10.1007/s11671-007-9065-5⟩
- Accès au bibtex
-
- titre
- Search for new manganese-cobalt oxides as positive electrode materials for lithium batteries
- auteur
- Pierre Strobel, Jérémy Tillier, Audrey Diaz, Alejandro Ibarra-Palos, François Thiéry, Jean-Bruno Soupart
- article
- Journal of Power Sources, 2007, 174 (2), pp.910-915. ⟨10.1016/j.jpowsour.2007.06.080⟩
- Accès au texte intégral et bibtex
-
- titre
- Surface Plasmon mediated near-field imaging and optical addressing in nanoscience
- auteur
- A. Drezet, A. Hohenau, J. R. Krenn, M. Brun, Serge Huant
- article
- Micron, 2007, 38, pp.427. ⟨10.1016/j.micron.2006.06.011⟩
- Accès au bibtex
-
- titre
- Hydrogen bonding and coordination in normal and supercritical water from x-ray inelastic scattering
- auteur
- Patrick H. L. Sit, Christophe Bellin, Bernardo Barbiellini, Denis Testemale, Jean-Louis Hazemann, Th. Buslaps, Nicola Marzari, Abhay Shukla
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 76, pp.245413. ⟨10.1103/PhysRevB.76.245413⟩
- Accès au bibtex
-
- titre
- Towards correlating Raman excitation profile and electron diffraction of the same single carbon nanotube
- auteur
- M. Kobylko, Anne-Marie Bonnot, A.R. Hightwalker, A. Richard, Mathieu Kociak, Anne Débarre
- article
- Annales de Physique, 2007, 32 (2-3), pp.131-134. ⟨10.1051/anphys:2008025⟩
- Accès au bibtex
-
- titre
- Electrochemical response of nanocrystalline tetragonal manganese dioxides prepared by spray vapor pyrolysis and ball milling
- auteur
- Christiane Poinsignon, Holger Klein, Pierre Strobel, Claude Roux, Christine Surcin
- article
- Journal of Physical Chemistry C, 2007, 111 (27), pp.9644-9651. ⟨10.1021/jp0665473⟩
- Accès au bibtex
-
- titre
- Growth Structures, Interface Dynamics and Stresses in Metallic Alloy Solidification: In situ Synchrotron X-ray Characterisation
- auteur
- Bernard Billia, Joseph Gastaldi, Henri Nguyen-Thi, Thomas Schenk, Guillaume Reinhart, Nathalie Mangelinck-Noël, Benjamin Grushko, Holger Klein, Jurgen Hartwig, Jose Baruchel
- article
- Transactions of the Indian Institute of Metals, 2007, 60 (2-3), pp.287-291
- Accès au bibtex
-
- titre
- Imaging electron wave functions inside open quantum rings
- auteur
- F. Martins, B. Hackens, Marco G. Pala, Thierry Ouisse, Hermann Sellier, X. Wallart, S. Bollaert, A. Cappy, Joël Chevrier, V. Bayot, Serge Huant
- article
- Physical Review Letters, 2007, 99, pp.136807. ⟨10.1103/PhysRevLett.99.136807⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation de l'absorption et de la désorption de l'hydrogène dans un réservoir à hydrure de magnésium activé
- auteur
- Albin Chaise, Philippe Marty, Patricia de Rango, Daniel Fruchart
- article
- Mechanics & Industry, 2007, 8 (3), pp.545-549. ⟨10.1051/meca:2007045⟩
- Accès au texte intégral et bibtex
-
- titre
- Laser operation of Yb3+ in the acentric RbTiOPO4 codoped with Nb5+
- auteur
- X. Mateos, Valentin Petrov, Alexandra Peña, J.J. Carvajal, M. Aguilò, F. Diaz, Patricia Segonds, Benoit Boulanger
- article
- Optics Letters, 2007, 32 (13), pp.1929-1931. ⟨10.1364/OL.32.001929⟩
- Accès au bibtex
-
- titre
- Structural and optical properties of RbTiOPO4:Nb crystals
- auteur
- J.J. Carvajal, Patricia Segonds, Alexandra Peña, Julien Zaccaro, Benoit Boulanger, F. Diaz, M. Aguilò
- article
- Journal of Physics: Condensed Matter, 2007, 19 (11), pp.116214. ⟨10.1088/0953-8984/19/11/116214⟩
- Accès au bibtex
-
- titre
- AC magnetic properties of the soft magnetic composite based on nanocrystalline ni-fe powders obtained by mechanical alloying
- auteur
- I. Chicinas, Olivier Geoffroy, Olivier Isnard, V. Pop
- article
- Journal of Magnetism and Magnetic Materials, 2007, 310 (2), pp.2474-2476. ⟨10.1016/j.jmmm.2006.11.019⟩
- Accès au bibtex
-
- titre
- Environmental impacts of steel slag reused in road construction : a crystallographic and molecular (XANES) approach
- auteur
- Perrine Chaurand, Jérôme Rose, Valérie Briois, Luca Olivi, Jean-Louis Hazemann, Olivier Proux, Jérémie Domas, Jean-Yves Bottero
- article
- Journal of Hazardous Materials, 2007, 139 (3), pp.537-542. ⟨10.1016/j.jhazmat.2006.02.060⟩
- Accès au bibtex
-
- titre
- Yb3+ spectroscopy in (Nb or Ta):RbTiOPO4 single crystals for laser applications
- auteur
- Alexandra Peña, J.J. Carvajal, M. C. Pujol, X. Mateos, M. Aguilò, F. Diaz, Valentin Petrov, Patricia Segonds, Benoit Boulanger
- article
- Optics Express, 2007, 15 (22), pp.14580-14590. ⟨10.1364/OE.15.014580⟩
- Accès au bibtex
-
- titre
- High-resolution mapping of the three-dimensional point spread function in the near-focus region of a confocal microscope
- auteur
- Michael J. Nasse, Jörg C. Woehl, Serge Huant
- article
- Applied Physics Letters, 2007, 90, pp.031106. ⟨10.1063/1.2431764⟩
- Accès au bibtex
-
- titre
- Diamond nanoparticles as photoluminescent nanoprobes
- auteur
- O. Faklaris, Yannick Sonnefraud, Aurelien Cuche, T. Sauvage, V. Joshi, Jean-Paul Boudou, Patrick A. Curmi, Jean-François Roch, Serge Huant, François Treussart
- article
- Annales de Physique, 2007, 32 (2-3), pp.155-158. ⟨10.1051/anphys:2008031⟩
- Accès au texte intégral et bibtex
-
- titre
- Mechanisms of arsenic scavenging by iron (hydr)oxides in anoxic environments
- auteur
- Yuheng Wang, Guillaume Morin, Georges Ona-Nguema, N. Menguy, François Guyot, Jean-Louis Hazemann, Georges Calas, Gordon E. Brown Jr
- article
- Geochimica et Cosmochimica Acta, 2007, 71, pp.A1089-A1089. ⟨10.1016/j.gca.2007.06.029⟩
- Accès au bibtex
-
- titre
- Promising Material for Infrared Nonlinear Optics: NaI3O8 salt containing a New Octaoxotriiodate(V) Anion formed from Condensation of [IO3]- Iodate
- auteur
- Delphine Phanon, Isabelle Gautier-Luneau
- article
- Angewandte Chemie International Edition, 2007, 46, pp.8488-8491. ⟨10.1002/anie.200703340⟩
- Accès au bibtex
-
- titre
- Triple photons : a challenge in nonlinear and quantum optics
- auteur
- Kamel Bencheikh, Fabien Gravier, Julien Douady, Juan Ariel Levenson, Benoit Boulanger
- article
- Comptes Rendus. Physique, 2007, 8 (2), pp.206-220. ⟨10.1016/j.crhy.2006.07.014⟩
- Accès au bibtex
-
- titre
- Third-order frequency generation in TiO2 rutile and KTiOPO4
- auteur
- Fabien Gravier, Benoit Boulanger
- article
- Optical Materials, 2007, 30 (1), pp.33-36. ⟨10.1016/j.optmat.2006.10.020⟩
- Accès au bibtex
-
- titre
- CVD growth of carbon nanotubes at very low pressure of acetylene
- auteur
- Yu.A. Kasumov, A. Shailos, I.I. Khodos, V.T. Volkov, V.I. Levashov, V.N. Matveev, Sophie Guéron, Mathias Kobylko, Mathieu Kociak, Helene Bouchiat, Vincent Agache, Anne-Sophie Rollier, Lionel Buchaillot, Anne-Marie Bonnot, A.Yu. Kasumov
- article
- Applied physics. A, Materials science & processing, 2007, 88, pp.687-691. ⟨10.1007/s00339-007-4028-3⟩
- Accès au bibtex
-
- titre
- Crystal structure and investigation of phase transitions in hexa (2 amino-indolinium) dodecachlorobithallate(III) and quinolinium tetrachlorothallate(III)
- auteur
- Najla N. Chaari, Besma Hamdi, Slaheddine Chaabouni, Nassira Chniba-Boudjada, Pierre Bordet
- article
- Journal of Molecular Structure, 2007, 871 (1-3), pp.42-48. ⟨10.1016/j.molstruc.2007.01.051⟩
- Accès au bibtex
-
- titre
- Gold leaf decoration on medieval islamic glazed ceramics - in search of technological features with XRD
- auteur
- Claire Pacheco, Rémy Chapoulie, Eric Dooryhee, Philippe Goudeau
- article
- Zeitschrift für Kristallographie - New Crystal Structures, 2007, 26, pp.317-323. ⟨10.1524/9783486992540-050⟩
- Accès au bibtex
-
- titre
- LO-phonon cascade emission in CdZnTe quantum wells: coherent control and quantum kinetics
- auteur
- P. Gilliot, Christelle Brimont, Steeve Cronenberger, O. Cregut, M. Gallart, B. Hoenerlage, Kuntheak Kheng, H. Mariette
- article
- Journal of Physics: Conference Series, 2007, 92, pp.12038. ⟨10.1088/1742-6596/92/1/012038⟩
- Accès au bibtex
-
- titre
- Magnetic behavior of SmCo3Cu2/alpha-Fe nanocomposite obtained by mechanical milling
- auteur
- Dominique Givord, Olivier Isnard, Ionel Chicinas, Viorel Pop
- article
- Journal of Magnetism and Magnetic Materials, 2007, 316, pp.e503-e506. ⟨10.1016/j.jmmm.2007.02.196⟩
- Accès au bibtex
-
- titre
- Coherent control and quantum kinetics of LO-phonon emission in CdZnTe quantum wells
- auteur
- P. Gilliot, Christelle Brimont, Steeve Cronenberger, O. Cregut, M. Gallart, B. Hoenerlage, Kuntheak Kheng, H. Mariette
- article
- Annales de Physique, 2007, 32 (2-3), pp.119-121. ⟨10.1051/anphys:2008022⟩
- Accès au bibtex
-
- titre
- A minigoniometer for x-ray diffraction studies down to 4K on 4-circle diffractometers equipped with 2D detectors
- auteur
- Pierre Fertey, Roger Argoud, Pierre Bordet, Jacques Reymann, Cyril Palin, Christophe Bouchard, Rémy Bruyère, Emmanuel Wenger, Claude Lecomte
- article
- Journal of Applied Crystallography, 2007, 40, pp.526-531. ⟨10.1107/S0021889807013490⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetic properties and synthesis conditions of Nd2Fe23B3 melt-spun alloy
- auteur
- Hervé Mayot, Olivier Isnard, Jean-Louis Soubeyroux
- article
- Journal of Magnetism and Magnetic Materials, 2007, 316, pp.e477-e480. ⟨10.1016/j.jmmm.2007.02.189⟩
- Accès au texte intégral et bibtex
-
- titre
- Superconducting single photon detectors made by local oxidation with an atomic force microscope
- auteur
- Cécile Delacour, M. Tarkhov, A. Korneev, Julien Claudon, R. Espiau de Lamaestre, J.C. Villegier, Jean-Philippe Poizat, G. Gol'Tsman, B. Voronov, Bernard Pannetier, Vincent Bouchiat
- article
- Applied Physics Letters, 2007, 90 (19), pp.191116. ⟨10.1063/1.2738195⟩
- Accès au bibtex
-
- titre
- Superconductivity in the tungsten bronze RbxWO3 in connection with its structure, electronic density of states and phonon density of states
- auteur
- René Brusetti, Helmut Schober, Pierre Bordet, Stefan Eibl, Jacques Bossy
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 76 (17), pp.174511. ⟨10.1103/PhysRevB.76.174511⟩
- Accès au texte intégral et bibtex
-
- titre
- Angular quasi-phase-matching
- auteur
- Yannick Petit, Benoit Boulanger, Patricia Segonds, Takunori Taira
- article
- Physical Review A : Atomic, molecular, and optical physics [1990-2015], 2007, 76 (6), pp.063817. ⟨10.1103/PhysRevA.76.063817⟩
- Accès au texte intégral et bibtex
-
- titre
- An XAS study of the structure and thermodynamics of Cu(I) chloride complexes in brines up to high temperature (400 °C, 600 bar)
- auteur
- J. Brugger, Barbara Etschmann, W. Liu, Denis Testemale, Jean-Louis Hazemann, H. Emerich, W. van Beek, O. Proux
- article
- Geochimica et Cosmochimica Acta, 2007, 71, pp.4920--4941. ⟨10.1016/j.gca.2007.08.003⟩
- Accès au bibtex
-
- titre
- Dissolution of magnetite in hydrothermal solutions: Kinetics and speciation by in situ X-ray absorption spectroscopy
- auteur
- F. Guyot, D. Daval, F. Dufaud, Denis Testemale, I. Martinez
- article
- Geochimica et Cosmochimica Acta, 2007, 71 (15), pp.A365-A365. ⟨10.1016/j.gca.2007.06.016⟩
- Accès au bibtex
-
- titre
- Structural, Kinetic and Theoretical Studies on modeling Zn-containing phosphodiesterase Active Center: medium-dependent Reaction Mechanisms
- auteur
- Katalin Selmeczi, Carine Michel, Anne Milet, Isabelle Gautier-Luneau, Christian Philouze, Jean-Louis Pierre, David Schnieders, Annette Rompel, Catherine Belle
- article
- Chemistry - A European Journal, 2007, 13 (32), pp.9093-9106. ⟨10.1002/chem.200700104⟩
- Accès au bibtex
-
- titre
- A new tool for the characterization of self-doubling crystals
- auteur
- Yannick Petit, Patricia Segonds, Benoit Boulanger
- article
- Optical Materials, 2007, 30 (1), pp.37-39. ⟨10.1016/j.optmat.2006.10.021⟩
- Accès au bibtex
-
- titre
- New materials for infrared non linear optic. Syntheses, structural characterisations, second harmonic generation and optical transparency of M(IO3)3 metallic iodates.
- auteur
- Delphine Phanon, Alain Mosset, Isabelle Gautier-Luneau
- article
- Journal of Materials Chemistry, 2007, 17, pp.1123-1130. ⟨10.1039/b612677d⟩
- Accès au bibtex
-
- titre
- Iron-citrate complexes and free radicals generation: Is citric acid an innocent additive in foods and drinks?
- auteur
- Isabelle Gautier-Luneau, Perrine Bertet, André Jeunet, Guy Serratrice, Jean-Louis Pierre
- article
- BioMetals, 2007, 20, pp.793-796. ⟨10.1007/s10534-006-9042-y⟩
- Accès au bibtex
-
- titre
- Speciation and long-term sequestering of Zn in a naturally enriched soil
- auteur
- F. Juillot, G. Morin, M. Benedetti, J.L. Hazemann, O. Proux, S. Bellin, V. Briois, G.E. Brown Jr, G. Calas
- article
- Geochimica et Cosmochimica Acta, 2007, 71 (15), pp.A453-A453. ⟨10.1016/j.gca.2007.06.018⟩
- Accès au bibtex
-
- titre
- Nanocomposite (Nd,Dy)(2)Fe14B/alpha-Fe magnetic materials coupled by exchange
- auteur
- Dominique Givord, Viorel Pop, Olivier Isnard, Ionel Chicinas, E. Dorolti
- article
- Journal of Optoelectronics and Advanced Materials, 2007, 9 (5), pp.1474-1477
- Accès au bibtex
-
- titre
- Stability of Jahn Teller distortion in LaMnO3 under pressure: An x-ray absorption study
- auteur
- Aline Y. Ramos, Hélio Tolentino, Narcizo M. Souza-Neto, Jean-Paul Itié, Liliana Morales, Alberto Caneiro
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75, pp.052103. ⟨10.1103/PhysRevB.75.052103⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystallography : past and present
- auteur
- Jean-Louis Hodeau, René Guinebretière
- article
- Applied physics. A, Materials science & processing, 2007, 89, pp.813-823. ⟨10.1007/s00339-007-4223-2⟩
- Accès au bibtex
-
Communication dans un congrès
- titre
- Resiliency of metal behaviours in a farralsol after an anoxic event simultaneous to rum vinasse amendment
- auteur
- Y. Lahlah, P. Renault, A. Buzet, P. Cazevieille, Jean-Louis Hazemann, P. Cambier, Manfred Womes, Jean-Claude Jumas
- article
- 2007 Joint Annual Meeting GSA, SSSA, ASA, CSSA, Nov 2007, New Orleans, United States
- Accès au bibtex
-
- titre
- High resolution x-ray diffraction study of strain relaxation mechanisms in thin layers of SmNiO3 heteroepitaxially grown by MOCVD on SrTiO3 single crystals
- auteur
- Florine Conchon, C. Girardot, Alexandre Boulle, S. Pignard, E. Dooryhee, René Guinebretière, Jean-Louis Hodeau
- article
- Size and Strain V, Oct 2007, Garmish-Partenkirchen, Germany
- Accès au bibtex
-
- titre
- Investigation of strain and strain relaxation in fuctional epitaxial oxides using X-ray scattering techniques
- auteur
- Florine Conchon, Alexandre Boulle, René Guinebretière, S. Pignard, C. Girardot, Jean-Louis Hodeau, L. Libralesso, T.L. Lee
- article
- European Material Research Society Meeting (E-MRS 2007), Sep 2007, Varsovie, Poland
- Accès au bibtex
-
- titre
- Gilded Medieval Islamic Glazed Ceramics - Non destructive surface analyses in search of technological features
- auteur
- Claire Pacheco, Rémy Chapoulie, Marc Aucouturier, Eric Dooryhee
- article
- Surface Modification Technologies symposium, Sep 2007, Paris, France. ⟨10.1080/175227013x13789832247864⟩
- Accès au bibtex
-
- titre
- Metrology of luminescence, linear and nonlinear optical properties of single crystals: from anisotropy to magnitudes
- auteur
- Benoit Boulanger, Patricia Segonds, Corinne Felix, Yannick Petit, Bertrand Ménaert, Julien Zaccaro
- article
- 15th International Conference on Crystal Growth, ICCG'15, Aug 2007, Lake City, United States
- Accès au bibtex
-
- titre
- Solid-state fluorescence of new Bodipy derivatives: development of molecular nanosensors
- auteur
- Sophie Badré, Rachel Méallet-Renault, Emilie Dubuisson, Alain Ibanez, Robert Bernard Pansu, Heinz Langhals
- article
- International Conférence on Photochemistry 2007, Jul 2007, Cologne, Germany
- Accès au bibtex
-
- titre
- Cristaux laser non linéaires de borates
- auteur
- G. Aka, Patricia Segonds, Benoit Boulanger, Bertrand Ménaert, Julien Zaccaro, Jean-Paul Salvestrini, M. D. Fontana, R. Moncorge, F. Poree, Grégory Gadret, J. Mangin, A. Brenier, G. Boulon, D. Pelenc
- article
- OPTIQUE Grenoble 2007, Jul 2007, Grenoble, France
- Accès au bibtex
-
- titre
- Influence d'un milieu diélectrique sur les résonances de réseaux métalliques sub-longueur d'onde
- auteur
- Jochen Fick, Aude Barbara, Pascal Quemerais
- article
- HORIZONS DE L'OPTIQUE, Jul 2007, Grenoble, France
- Accès au bibtex
-
- titre
- Caractérisation de modes hybrides par spectroscopie de m-lines hémisphériques
- auteur
- Jochen Fick, Paul Moretti
- article
- Journées Nationales de l'Optique Guidée, Jul 2007, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Laser operation of Yb3+ in the acentric RbTiOPO4 crystal codoped with Nb5+
- auteur
- Mateos Xavier, Alexandra Pena, Valentin Petrov, Juan Carvajal, M. Diaz Aguilo, F. Diaz, Patricia Segonds, Benoit Boulanger
- article
- The Conference on Lasers and Electro-Optics (CLEO) Europe & the European Quantum Electronics Conference (EQEC) , Jun 2007, Munich, Germany
- Accès au bibtex
-
- titre
- Fluorescence des cristaux moléculaires
- auteur
- Sophie Badré, Emilie Dubuisson, Nathalie Sanz, Alain Ibanez, Rachel Méallet-Renault, Robert Bernard Pansu
- article
- Nanohybride IV, Jun 2007, Bastia, France
- Accès au bibtex
-
- titre
- Controlling strong EM fields at a sub-wavelength scale
- auteur
- Pascal Quemerais
- article
- E-MRS, May 2007, Strasbourg, France
- Accès au bibtex
-
- titre
- Influence of strain relaxation on the structural stabilization of SmNiO3 films epitaxial grown on (001) SrTiO3 substrates
- auteur
- Florine Conchon, Alexandre Boulle, C. Girardot, S. Pignard, E. Dooyrhée, René Guinebretière, Jean-Louis Hodeau
- article
- E-MRS 2007 Spring Meeting, Symposium H/J, May 2007, Strasbourg, France
- Accès au bibtex
-
- titre
- An observer design for nanoforce estimation with thermal noise attenuation
- auteur
- Gildas Besancon, Alina Voda, Joël Chevrier
- article
- CSC 2007 - Conference on Systems and Control, May 2007, Marrakech, Morocco
- Accès au bibtex
-
- titre
- A portable XRF-XRD instrument for in-situ analysis of cultural heritage objects
- auteur
- Alessandra Gianoncelli, Jacques Castaing, Luc Ortega, Eric Dooryhee, M. Eveno, Joseph Salomon, Pierre Bordet, Jean-Louis Hodeau, Philippe Walter
- article
- Conservation science, May 2007, Milano, Italy
- Accès au bibtex
-
- titre
- Control of the optical properties of quantum dots by substrate functionalization: application to active NSOM tip
- auteur
- Jerome Plain, Yannick Sonnefraud, Pierre Viste, Pierre-Michel Adam, Gilles Lerondel, Serge Huant, Pascal Royer
- article
- E-MRS, May 2007, Strasbourg, France
- Accès au bibtex
-
- titre
- Céramiques glaçurées islamiques médiévales de luxe : étude des procédés de fabrication de décors à la feuille d’or et de leur évolution (Iran XIIe-XIIIe s. – Asie Centrale XIVe-XVe s.)
- auteur
- Claire Pacheco, Rémy Chapoulie, Eric Dooryhee, Marc Aucouturier, Anne Bouquillon, Sophie Makariou, Delphine Miroudot
- article
- Archéométrie'07, Colloque du GMPCA, Apr 2007, Aix-en-Provence, France
- Accès au bibtex
-
- titre
- Polariton spin beats in semiconductor quantum well microcavities
- auteur
- Denis Scalbert, Masha Vladimirova, Adalberto Brunetti, Steeve Cronenberger, Michal Nawrocki, Jacqueline Bloch, Alexei Kavokin, I.A. Shelykh, Régis André, Dimitri Solnyshkov, Guillaume Malpuech
- article
- 7th International Conference on Physics of Light-Matter coupling in Nanostructures, Apr 2007, La Havane, Cuba. pp.417
- Accès au bibtex
-
- titre
- Plasmon de surfaces métalliques et contrôle de champs EM à une échelle sub-longueur d'onde
- auteur
- Pascal Quemerais
- article
- Tunisian-MRS, Apr 2007, Tunisie
- Accès au bibtex
-
- titre
- Calcul ab-initio de la diffusion quantique dans les approximants de quasicristaux
- auteur
- Guy Trambly de Laissardière, Jean-Pierre Julien, Didier Mayou
- article
- GDR DFT ++, Réunion générale, 27-30 mars 2007, Mar 2007, Autrans, France
- Accès au texte intégral et bibtex
-
Autre publication scientifique
- titre
- Effect of transition-metal elements on the electronic properties of quasicrystals and complex aluminides
- auteur
- Guy Trambly de Laissardière, Didier Mayou
- article
- 2007
- Accès au texte intégral et bibtex
-
Thèse
- titre
- Etude structurale et magnétique en vue de la mise en oeuvre de nouveaux matériaux à effet magnétocalorique géant
- auteur
- Mohamed Balli
- article
- Science des matériaux [cond-mat.mtrl-sci]. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical spectroscopy of wide gap diluted magnetic semiconductors based on ZnO and GaN
- auteur
- Wojciech Pacuski
- article
- Condensed Matter [cond-mat]. Université Joseph-Fourier - Grenoble I, 2007. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Vers un microscope de force de Casimir : mesure quantitative de forces faibles et nanopositionnement absolu
- auteur
- Guillaume Emmanuel Jourdan
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement et applications de sondes actives en microscopie en champ proche optique
- auteur
- Yannick Sonnefraud
- article
- Physique Atomique [physics.atom-ph]. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Nouvelles approches en optique cristalline: distributions angulaires de l'absorption et de l'émission, auto-doublage, quasi-accord de phase angulaire
- auteur
- Yannick Petit
- article
- Physique Atomique [physics.atom-ph]. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Détection de spins individuels dans les boîtes quantiques magnétiques
- auteur
- Yoan Léger
- article
- Physique Atomique [physics.atom-ph]. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception et étude d'un générateur et d'un corrélateur de triplets de photons basés sur KTiOPO4 et TiO2
- auteur
- Fabien Gravier
- article
- Physique Atomique [physics.atom-ph]. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Localisations et exaltations de la lumière dans des structures métalliques sub-longueur d'onde
- auteur
- Jérôme Le Perchec
- article
- Physique [physics]. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
Pré-publication, Document de travail
- titre
- Implementation of perception and action at nanoscale
- auteur
- Sylvain Marlière, Jean-Loup Florens, Florence Marchi, Annie Luciani, Joël Chevrier
- article
- 2007
- Accès au texte intégral et bibtex
-